Index of /alpine/edge/testing/s390x/
../
3proxy-0.9.4-r1.apk 25-Oct-2024 20:29 370K
3proxy-doc-0.9.4-r1.apk 25-Oct-2024 20:29 25K
3proxy-openrc-0.9.4-r1.apk 25-Oct-2024 20:29 1714
9base-6-r2.apk 25-Oct-2024 20:29 2M
9base-doc-6-r2.apk 25-Oct-2024 20:29 64K
9base-troff-6-r2.apk 25-Oct-2024 20:29 498K
APKINDEX.tar.gz 21-Nov-2024 11:58 829K
a2jmidid-9-r3.apk 25-Oct-2024 20:29 30K
a2jmidid-doc-9-r3.apk 25-Oct-2024 20:29 4288
abc-0_git20240102-r0.apk 25-Oct-2024 20:29 5M
ace-of-penguins-1.4-r3.apk 25-Oct-2024 20:29 172K
ace-of-penguins-doc-1.4-r3.apk 25-Oct-2024 20:29 49K
acmetool-0.2.2-r8.apk 25-Oct-2024 20:29 4M
acmetool-doc-0.2.2-r8.apk 25-Oct-2024 20:29 47K
adguardhome-0.107.54-r0.apk 07-Nov-2024 23:59 10M
adguardhome-openrc-0.107.54-r0.apk 07-Nov-2024 23:59 2134
adjtimex-1.29-r0.apk 25-Oct-2024 20:29 20K
adjtimex-doc-1.29-r0.apk 25-Oct-2024 20:29 7259
admesh-0.98.5-r0.apk 25-Oct-2024 20:29 27K
admesh-dev-0.98.5-r0.apk 25-Oct-2024 20:29 4146
admesh-doc-0.98.5-r0.apk 25-Oct-2024 20:29 23K
advancemame-3.9-r4.apk 25-Oct-2024 20:29 12M
advancemame-data-3.9-r4.apk 25-Oct-2024 20:29 6M
advancemame-doc-3.9-r4.apk 25-Oct-2024 20:29 374K
advancemame-menu-3.9-r4.apk 25-Oct-2024 20:29 845K
advancemame-mess-3.9-r4.apk 25-Oct-2024 20:29 4M
advancescan-1.18-r1.apk 25-Oct-2024 20:29 286K
advancescan-doc-1.18-r1.apk 25-Oct-2024 20:29 7442
afetch-2.2.0-r1.apk 25-Oct-2024 20:29 8626
afetch-doc-2.2.0-r1.apk 25-Oct-2024 20:29 14K
agate-3.3.8-r0.apk 25-Oct-2024 20:29 836K
agate-openrc-3.3.8-r0.apk 25-Oct-2024 20:29 2037
agrep-0.8.0-r2.apk 25-Oct-2024 20:29 8583
agrep-doc-0.8.0-r2.apk 25-Oct-2024 20:29 4212
aide-0.18.8-r0.apk 25-Oct-2024 20:29 81K
aide-doc-0.18.8-r0.apk 25-Oct-2024 20:29 14K
alarmwakeup-0.2.1-r0.apk 25-Oct-2024 20:29 6584
alarmwakeup-dbg-0.2.1-r0.apk 25-Oct-2024 20:29 18K
alarmwakeup-dev-0.2.1-r0.apk 25-Oct-2024 20:29 2616
alarmwakeup-libs-0.2.1-r0.apk 25-Oct-2024 20:29 4445
alarmwakeup-utils-0.2.1-r0.apk 25-Oct-2024 20:29 4059
alpine-lift-0.2.0-r18.apk 25-Oct-2024 20:29 3M
alps-0_git20230807-r7.apk 04-Nov-2024 20:02 5M
alps-openrc-0_git20230807-r7.apk 04-Nov-2024 20:02 2006
alttab-1.7.1-r0.apk 25-Oct-2024 20:29 40K
alttab-doc-1.7.1-r0.apk 25-Oct-2024 20:29 10K
amber-0.3.3-r0.apk 25-Oct-2024 20:29 488K
amdgpu-fan-0.1.0-r5.apk 25-Oct-2024 20:29 14K
amdgpu-fan-pyc-0.1.0-r5.apk 25-Oct-2024 20:29 9847
amiitool-2-r2.apk 25-Oct-2024 20:29 7134
ampy-1.1.0-r5.apk 25-Oct-2024 20:29 16K
ampy-doc-1.1.0-r5.apk 25-Oct-2024 20:29 3512
ampy-pyc-1.1.0-r5.apk 25-Oct-2024 20:29 20K
amule-2.3.3-r13.apk 25-Oct-2024 20:29 5M
amule-doc-2.3.3-r13.apk 25-Oct-2024 20:29 281K
amule-lang-2.3.3-r13.apk 25-Oct-2024 20:29 2M
anarch-1.0-r1.apk 25-Oct-2024 20:29 113K
anarch-doc-1.0-r1.apk 25-Oct-2024 20:29 18K
anari-sdk-0.7.2-r0.apk 25-Oct-2024 20:29 309K
anari-sdk-dev-0.7.2-r0.apk 25-Oct-2024 20:29 59K
anari-sdk-static-0.7.2-r0.apk 25-Oct-2024 20:29 188K
android-apkeep-0.17.0-r0.apk 25-Oct-2024 20:29 2M
android-apktool-2.10.0-r0.apk 25-Oct-2024 20:29 23M
android-file-transfer-4.3-r0.apk 25-Oct-2024 20:29 189K
android-file-transfer-cli-4.3-r0.apk 25-Oct-2024 20:29 115K
android-file-transfer-dev-4.3-r0.apk 25-Oct-2024 20:29 1580
android-file-transfer-libs-4.3-r0.apk 25-Oct-2024 20:29 131K
angband-4.2.5-r0.apk 25-Oct-2024 20:29 23M
ansiweather-1.19.0-r1.apk 25-Oct-2024 20:29 4838
ansiweather-doc-1.19.0-r1.apk 25-Oct-2024 20:29 3098
antibody-6.1.1-r23.apk 25-Oct-2024 20:29 2M
antimicrox-3.4.0-r0.apk 25-Oct-2024 20:29 2M
antimicrox-doc-3.4.0-r0.apk 25-Oct-2024 20:29 23K
apache-mod-auth-gssapi-1.6.5-r1.apk 25-Oct-2024 20:29 64K
apache2-mod-authnz-external-3.3.3-r0.apk 25-Oct-2024 20:29 8260
apache2-mod-authnz-external-doc-3.3.3-r0.apk 25-Oct-2024 20:29 10K
apache2-mod-perl-2.0.13-r1.apk 25-Oct-2024 20:29 686K
apache2-mod-perl-dbg-2.0.13-r1.apk 25-Oct-2024 20:29 71K
apache2-mod-perl-dev-2.0.13-r1.apk 25-Oct-2024 20:29 40K
apache2-mod-perl-doc-2.0.13-r1.apk 25-Oct-2024 20:29 303K
apache2-mod-realdoc-1-r1.apk 25-Oct-2024 20:29 4694
apk-autoupdate-0_git20210421-r1.apk 17-Nov-2024 12:51 14K
apk-autoupdate-doc-0_git20210421-r1.apk 17-Nov-2024 12:51 7122
apk-readme-0.1-r1.apk 25-Oct-2024 20:29 1246
apk-snap-3.1.1-r0.apk 25-Oct-2024 20:29 6807
apk-snap-doc-3.1.1-r0.apk 25-Oct-2024 20:29 20K
apk-tools3-3.0.0_pre3_git20241029-r0.apk 30-Oct-2024 05:04 53K
apk-tools3-dbg-3.0.0_pre3_git20241029-r0.apk 30-Oct-2024 05:04 576K
apk-tools3-dev-3.0.0_pre3_git20241029-r0.apk 30-Oct-2024 05:04 22K
apk-tools3-doc-3.0.0_pre3_git20241029-r0.apk 30-Oct-2024 05:04 38K
apk-tools3-libs-3.0.0_pre3_git20241029-r0.apk 30-Oct-2024 05:04 129K
apk-tools3-static-3.0.0_pre3_git20241029-r0.apk 30-Oct-2024 05:04 2M
apollo-0.2.3-r0.apk 26-Oct-2024 21:52 4M
apollo-doc-0.2.3-r0.apk 26-Oct-2024 21:52 19K
apollo-openrc-0.2.3-r0.apk 26-Oct-2024 21:52 1755
aports-glmr-0.2-r23.apk 25-Oct-2024 20:29 2M
appcenter-8.0.0-r0.apk 12-Nov-2024 21:55 411K
appcenter-lang-8.0.0-r0.apk 12-Nov-2024 21:55 258K
aprilsh-0.7.12-r0.apk 25-Oct-2024 20:29 1666
aprilsh-client-0.7.12-r0.apk 25-Oct-2024 20:29 3M
aprilsh-doc-0.7.12-r0.apk 25-Oct-2024 20:29 15K
aprilsh-openrc-0.7.12-r0.apk 25-Oct-2024 20:29 1859
aprilsh-server-0.7.12-r0.apk 25-Oct-2024 20:29 2M
apt-dater-1.0.4-r4.apk 25-Oct-2024 20:29 61K
apt-dater-doc-1.0.4-r4.apk 25-Oct-2024 20:29 10K
apt-dater-lang-1.0.4-r4.apk 25-Oct-2024 20:29 13K
apt-mirror-0.5.4-r0.apk 25-Oct-2024 20:29 9671
apt-mirror-doc-0.5.4-r0.apk 25-Oct-2024 20:29 4739
aptdec-1.8.0-r0.apk 25-Oct-2024 20:29 85K
aptdec-dev-1.8.0-r0.apk 25-Oct-2024 20:29 3598
aptdec-libs-1.8.0-r0.apk 25-Oct-2024 20:29 15K
apulse-0.1.13-r2.apk 25-Oct-2024 20:29 44K
apulse-doc-0.1.13-r2.apk 25-Oct-2024 20:29 2824
aqemu-0.9.4-r3.apk 25-Oct-2024 20:29 2M
aqemu-doc-0.9.4-r3.apk 25-Oct-2024 20:29 7700
arc-20221218-r0.apk 25-Oct-2024 20:29 1793
arc-cinnamon-20221218-r0.apk 25-Oct-2024 20:29 68K
arc-dark-20221218-r0.apk 25-Oct-2024 20:29 1796
arc-dark-cinnamon-20221218-r0.apk 25-Oct-2024 20:29 68K
arc-dark-gnome-20221218-r0.apk 25-Oct-2024 20:29 27K
arc-dark-gtk2-20221218-r0.apk 25-Oct-2024 20:29 38K
arc-dark-gtk3-20221218-r0.apk 25-Oct-2024 20:29 93K
arc-dark-gtk4-20221218-r0.apk 25-Oct-2024 20:29 86K
arc-dark-metacity-20221218-r0.apk 25-Oct-2024 20:29 17K
arc-dark-xfwm-20221218-r0.apk 25-Oct-2024 20:29 8063
arc-darker-20221218-r0.apk 25-Oct-2024 20:29 1803
arc-darker-gtk2-20221218-r0.apk 25-Oct-2024 20:29 39K
arc-darker-gtk3-20221218-r0.apk 25-Oct-2024 20:29 124K
arc-darker-gtk4-20221218-r0.apk 25-Oct-2024 20:29 110K
arc-darker-metacity-20221218-r0.apk 25-Oct-2024 20:29 17K
arc-darker-xfwm-20221218-r0.apk 25-Oct-2024 20:29 8062
arc-gnome-20221218-r0.apk 25-Oct-2024 20:29 29K
arc-gtk2-20221218-r0.apk 25-Oct-2024 20:29 38K
arc-gtk3-20221218-r0.apk 25-Oct-2024 20:29 126K
arc-gtk4-20221218-r0.apk 25-Oct-2024 20:29 114K
arc-icon-theme-20161122-r0.apk 25-Oct-2024 20:29 4M
arc-lighter-20221218-r0.apk 25-Oct-2024 20:29 1808
arc-lighter-gtk2-20221218-r0.apk 25-Oct-2024 20:29 38K
arc-lighter-gtk3-20221218-r0.apk 25-Oct-2024 20:29 125K
arc-lighter-gtk4-20221218-r0.apk 25-Oct-2024 20:29 113K
arc-lighter-metacity-20221218-r0.apk 25-Oct-2024 20:29 17K
arc-lighter-xfwm-20221218-r0.apk 25-Oct-2024 20:29 7904
arc-metacity-20221218-r0.apk 25-Oct-2024 20:29 17K
arc-theme-20221218-r0.apk 25-Oct-2024 20:29 1483
arc-xfwm-20221218-r0.apk 25-Oct-2024 20:29 7882
arcticons-icon-theme-9.6.5.0-r0.apk 25-Oct-2024 20:29 1467
arcticons-icon-theme-dark-9.6.5.0-r0.apk 25-Oct-2024 20:29 423K
arcticons-icon-theme-light-9.6.5.0-r0.apk 25-Oct-2024 20:29 423K
argocd-2.12.4-r0.apk 25-Oct-2024 20:29 32M
argocd-bash-completion-2.12.4-r0.apk 25-Oct-2024 20:29 20K
argocd-doc-2.12.4-r0.apk 25-Oct-2024 20:29 5579
argocd-zsh-completion-2.12.4-r0.apk 25-Oct-2024 20:29 4075
arj-0_git20220125-r1.apk 25-Oct-2024 20:29 159K
arj-doc-0_git20220125-r1.apk 25-Oct-2024 20:29 10K
armagetronad-0.2.9.1.1-r0.apk 25-Oct-2024 20:29 2M
armagetronad-doc-0.2.9.1.1-r0.apk 25-Oct-2024 20:29 92K
aspell-es-1.11-r0.apk 25-Oct-2024 20:29 541K
atlantik-3.5.10_git20240323-r0.apk 25-Oct-2024 20:29 398K
atlantik-doc-3.5.10_git20240323-r0.apk 25-Oct-2024 20:29 79K
atlantik-lang-3.5.10_git20240323-r0.apk 25-Oct-2024 20:29 69K
atomicparsley-20240608-r0.apk 25-Oct-2024 20:29 125K
atool-0.39.0-r4.apk 25-Oct-2024 20:29 18K
atool-bash-completion-0.39.0-r4.apk 25-Oct-2024 20:29 2091
atool-doc-0.39.0-r4.apk 25-Oct-2024 20:29 9834
ats2-0.4.2-r0.apk 25-Oct-2024 20:29 2M
aufs-util-20161219-r3.apk 25-Oct-2024 20:29 203K
aufs-util-dev-20161219-r3.apk 25-Oct-2024 20:29 1498
aufs-util-doc-20161219-r3.apk 25-Oct-2024 20:29 34K
autoconf-policy-0.1-r0.apk 25-Oct-2024 20:29 5622
autorandr-1.15-r0.apk 25-Oct-2024 20:29 21K
autorandr-bash-completion-1.15-r0.apk 25-Oct-2024 20:29 2388
autorandr-doc-1.15-r0.apk 25-Oct-2024 20:29 3866
autorandr-launcher-1.15-r0.apk 25-Oct-2024 20:29 5678
autorandr-udev-1.15-r0.apk 25-Oct-2024 20:29 1767
autoremove-torrents-1.5.5-r0.apk 25-Oct-2024 20:29 35K
autoremove-torrents-doc-1.5.5-r0.apk 25-Oct-2024 20:29 12K
autoremove-torrents-pyc-1.5.5-r0.apk 25-Oct-2024 20:29 54K
autorestic-1.8.3-r0.apk 25-Oct-2024 20:29 4M
autotrash-0.4.7-r0.apk 25-Oct-2024 20:29 23K
autotrash-pyc-0.4.7-r0.apk 25-Oct-2024 20:29 14K
avahi2dns-0.0.1_git20240102-r2.apk 25-Oct-2024 20:29 2M
avahi2dns-openrc-0.0.1_git20240102-r2.apk 25-Oct-2024 20:29 1841
avarice-2.14-r4.apk 25-Oct-2024 20:29 105K
avarice-doc-2.14-r4.apk 25-Oct-2024 20:29 9673
avra-1.4.2-r0.apk 25-Oct-2024 20:29 42K
avra-dev-1.4.2-r0.apk 25-Oct-2024 20:29 255K
azote-1.12.7-r0.apk 25-Oct-2024 20:29 8M
azote-pyc-1.12.7-r0.apk 25-Oct-2024 20:29 98K
azpainter-3.0.9-r0.apk 25-Oct-2024 20:29 858K
azpainter-doc-3.0.9-r0.apk 25-Oct-2024 20:29 42K
azure-iot-sdk-c-static-1.11.0-r0.apk 25-Oct-2024 20:29 772K
b2sum-20190729-r2.apk 25-Oct-2024 20:29 13K
b2sum-doc-20190729-r2.apk 25-Oct-2024 20:29 2801
backup-manager-0.7.15-r1.apk 25-Oct-2024 20:29 55K
baikal-0.10.1-r0.apk 10-Nov-2024 19:33 1M
baikal-mysql-0.10.1-r0.apk 10-Nov-2024 19:33 1261
baikal-pgsql-0.10.1-r0.apk 10-Nov-2024 19:33 1261
baikal-sqlite-0.10.1-r0.apk 10-Nov-2024 19:33 1412
bakelite-0.4.2-r0.apk 25-Oct-2024 20:29 39K
bananui-2.0.0-r0.apk 25-Oct-2024 20:29 60K
bananui-clock-0.1.0-r0.apk 25-Oct-2024 20:29 7521
bananui-daemons-0.1.0-r0.apk 25-Oct-2024 20:29 48K
bananui-dbg-2.0.0-r0.apk 25-Oct-2024 20:29 172K
bananui-demos-2.0.0-r0.apk 25-Oct-2024 20:29 9635
bananui-dev-2.0.0-r0.apk 25-Oct-2024 20:29 89K
barman-3.11.1-r0.apk 25-Oct-2024 20:29 336K
barman-bash-completion-3.11.1-r0.apk 25-Oct-2024 20:29 1660
barman-doc-3.11.1-r0.apk 25-Oct-2024 20:29 50K
barman-pyc-3.11.1-r0.apk 25-Oct-2024 20:29 536K
barnyard2-2.1.14_git20160413-r1.apk 25-Oct-2024 20:29 129K
barnyard2-openrc-2.1.14_git20160413-r1.apk 25-Oct-2024 20:29 2827
barrier-2.4.0-r1.apk 25-Oct-2024 20:29 978K
barrier-doc-2.4.0-r1.apk 25-Oct-2024 20:29 13K
base64c-0.2.1-r0.apk 25-Oct-2024 20:29 4607
base64c-dev-0.2.1-r0.apk 25-Oct-2024 20:29 5618
bcg729-1.1.1-r0.apk 25-Oct-2024 20:29 35K
bcg729-dev-1.1.1-r0.apk 25-Oct-2024 20:29 3549
bchunk-1.2.2-r3.apk 25-Oct-2024 20:29 7490
bchunk-doc-1.2.2-r3.apk 25-Oct-2024 20:29 3066
bdfr-2.6.2-r1.apk 25-Oct-2024 20:29 131K
beancount-language-server-1.3.6-r0.apk 05-Nov-2024 23:37 1M
beard-0.4-r0.apk 25-Oct-2024 20:29 3216
beard-doc-0.4-r0.apk 25-Oct-2024 20:29 2540
bees-0.10-r2.apk 25-Oct-2024 20:29 292K
bees-openrc-0.10-r2.apk 25-Oct-2024 20:29 1993
belcard-5.3.83-r0.apk 25-Oct-2024 20:29 12K
belcard-dev-5.3.83-r0.apk 25-Oct-2024 20:29 12K
belcard-libs-5.3.83-r0.apk 25-Oct-2024 20:29 218K
belle-sip-5.3.83-r0.apk 25-Oct-2024 20:29 734K
belle-sip-dev-5.3.83-r0.apk 25-Oct-2024 20:29 55K
belr-5.3.83-r0.apk 25-Oct-2024 20:29 112K
belr-dev-5.3.83-r0.apk 25-Oct-2024 20:29 15K
bestline-0.0_git20211108-r0.apk 25-Oct-2024 20:29 22K
bestline-dev-0.0_git20211108-r0.apk 25-Oct-2024 20:29 1722
bestline-doc-0.0_git20211108-r0.apk 25-Oct-2024 20:29 18M
bettercap-2.32.0-r23.apk 25-Oct-2024 20:29 10M
betula-1.1.0-r5.apk 25-Oct-2024 20:29 4M
betula-openrc-1.1.0-r5.apk 25-Oct-2024 20:29 1932
bgpq4-1.15-r0.apk 25-Oct-2024 20:29 34K
bgpq4-doc-1.15-r0.apk 25-Oct-2024 20:29 6494
bgs-0.8-r1.apk 25-Oct-2024 20:29 5818
bgs-doc-0.8-r1.apk 25-Oct-2024 20:29 2357
bindfs-1.17.6-r0.apk 25-Oct-2024 20:29 23K
bindfs-doc-1.17.6-r0.apk 25-Oct-2024 20:29 9268
binwalk-2.4.2-r0.apk 25-Oct-2024 20:29 148K
binwalk-pyc-2.4.2-r0.apk 25-Oct-2024 20:29 168K
biometryd-0.3.1-r6.apk 12-Nov-2024 21:13 325K
biometryd-dev-0.3.1-r6.apk 12-Nov-2024 21:13 13K
birdtray-1.9.0-r1.apk 25-Oct-2024 20:29 408K
bitlbee-facebook-1.2.2-r0.apk 25-Oct-2024 20:29 60K
bitlbee-mastodon-1.4.5-r0.apk 25-Oct-2024 20:29 47K
bkt-0.8.0-r0.apk 25-Oct-2024 20:29 433K
bkt-doc-0.8.0-r0.apk 25-Oct-2024 20:29 7427
blackbox-1.20220610-r1.apk 25-Oct-2024 20:29 16K
blip-0.10-r0.apk 25-Oct-2024 20:29 15K
blip-doc-0.10-r0.apk 25-Oct-2024 20:29 31K
bliss-0.77-r1.apk 25-Oct-2024 20:29 83K
bliss-dev-0.77-r1.apk 25-Oct-2024 20:29 118K
bobcat-4.09.00-r0.apk 25-Oct-2024 20:29 710K
bobcat-dev-4.09.00-r0.apk 25-Oct-2024 20:29 664K
bobcat-doc-4.09.00-r0.apk 25-Oct-2024 20:29 345K
bochs-2.8-r0.apk 25-Oct-2024 20:29 977K
bochs-doc-2.8-r0.apk 25-Oct-2024 20:29 139K
boinc-7.24.3-r0.apk 25-Oct-2024 20:29 2M
boinc-dev-7.24.3-r0.apk 25-Oct-2024 20:29 592K
boinc-doc-7.24.3-r0.apk 25-Oct-2024 20:29 8184
boinc-gui-7.24.3-r0.apk 25-Oct-2024 20:29 1M
boinc-lang-7.24.3-r0.apk 25-Oct-2024 20:29 877K
boinc-libs-7.24.3-r0.apk 25-Oct-2024 20:29 218K
boinc-screensaver-7.24.3-r0.apk 25-Oct-2024 20:29 133K
bomctl-0.1.9-r1.apk 25-Oct-2024 20:29 9M
bomctl-bash-completion-0.1.9-r1.apk 25-Oct-2024 20:29 5257
bomctl-fish-completion-0.1.9-r1.apk 25-Oct-2024 20:29 4444
bomctl-zsh-completion-0.1.9-r1.apk 25-Oct-2024 20:29 4162
bonzomatic-20230615-r0.apk 25-Oct-2024 20:29 673K
bootinfo-0.1.0-r4.apk 25-Oct-2024 20:29 19K
bootinfo-pyc-0.1.0-r4.apk 25-Oct-2024 20:29 8443
bootloose-0.7.1-r6.apk 25-Oct-2024 20:29 2M
bootterm-0.5-r0.apk 25-Oct-2024 20:29 19K
bootterm-dbg-0.5-r0.apk 25-Oct-2024 20:29 2353
boson-0_git20211219-r0.apk 25-Oct-2024 20:29 18K
boxed-cpp-1.4.3-r0.apk 25-Oct-2024 20:29 1217
boxed-cpp-dev-1.4.3-r0.apk 25-Oct-2024 20:29 6374
boxed-cpp-doc-1.4.3-r0.apk 25-Oct-2024 20:29 5576
boxes-2.3.1-r0.apk 25-Oct-2024 20:29 80K
boxes-doc-2.3.1-r0.apk 25-Oct-2024 20:29 7281
brial-1.2.11-r4.apk 25-Oct-2024 20:29 1M
brial-dev-1.2.11-r4.apk 25-Oct-2024 20:29 2M
brltty-6.7-r0.apk 25-Oct-2024 20:29 2M
brltty-dev-6.7-r0.apk 25-Oct-2024 20:29 140K
brltty-doc-6.7-r0.apk 25-Oct-2024 20:29 9612
brltty-lang-6.7-r0.apk 25-Oct-2024 20:29 149K
brltty-static-6.7-r0.apk 25-Oct-2024 20:29 25K
brogue-1.14.1-r0.apk 15-Nov-2024 01:10 882K
btcd-0.24.0-r3.apk 25-Oct-2024 20:29 14M
btfs-2.24-r12.apk 25-Oct-2024 20:29 32K
btfs-doc-2.24-r12.apk 25-Oct-2024 20:29 2443
btpd-0.16-r2.apk 25-Oct-2024 20:29 81K
btpd-doc-0.16-r2.apk 25-Oct-2024 20:29 8602
buf-1.45.0-r0.apk 25-Oct-2024 20:29 13M
buf-bash-completion-1.45.0-r0.apk 25-Oct-2024 20:29 8346
buf-fish-completion-1.45.0-r0.apk 25-Oct-2024 20:29 4345
buf-protoc-plugins-1.45.0-r0.apk 25-Oct-2024 20:29 12M
buf-zsh-completion-1.45.0-r0.apk 25-Oct-2024 20:29 4059
bump2version-1.0.1-r6.apk 25-Oct-2024 20:29 21K
bump2version-pyc-1.0.1-r6.apk 25-Oct-2024 20:29 29K
burp-3.1.4-r0.apk 25-Oct-2024 20:29 174K
burp-doc-3.1.4-r0.apk 25-Oct-2024 20:29 99K
burp-server-3.1.4-r0.apk 25-Oct-2024 20:29 36K
butane-0.22.0-r0.apk 25-Oct-2024 20:29 3M
bwrap-oci-0.2-r1.apk 25-Oct-2024 20:29 16K
bwrap-oci-doc-0.2-r1.apk 25-Oct-2024 20:29 2551
cadence-0.9.2-r0.apk 25-Oct-2024 20:29 2M
caffeine-ng-4.2.0-r1.apk 25-Oct-2024 20:29 100K
caffeine-ng-doc-4.2.0-r1.apk 25-Oct-2024 20:29 3236
caffeine-ng-lang-4.2.0-r1.apk 25-Oct-2024 20:29 34K
caps2esc-0.3.2-r0.apk 25-Oct-2024 20:29 4662
cargo-expand-1.0.94-r0.apk 05-Nov-2024 13:08 2M
cargo-expand-doc-1.0.94-r0.apk 05-Nov-2024 13:08 5789
cargo-machete-0.6.2-r0.apk 25-Oct-2024 20:29 1M
cargo-machete-doc-0.6.2-r0.apk 25-Oct-2024 20:29 4051
cargo-run-bin-1.7.2-r0.apk 25-Oct-2024 20:29 526K
cargo-run-bin-doc-1.7.2-r0.apk 25-Oct-2024 20:29 5189
cargo-shuttle-0.48.3-r0.apk 25-Oct-2024 20:29 6M
cargo-shuttle-bash-completion-0.48.3-r0.apk 25-Oct-2024 20:29 4420
cargo-shuttle-doc-0.48.3-r0.apk 25-Oct-2024 20:29 9588
cargo-shuttle-fish-completion-0.48.3-r0.apk 25-Oct-2024 20:29 5551
cargo-shuttle-zsh-completion-0.48.3-r0.apk 25-Oct-2024 20:29 6427
cargo-sort-1.0.9_git20240110-r0.apk 25-Oct-2024 20:29 479K
cargo-update-15.0.0-r0.apk 25-Oct-2024 20:29 1M
cargo-update-doc-15.0.0-r0.apk 25-Oct-2024 20:29 8334
cargo-vendor-filterer-0.5.9-r1.apk 25-Oct-2024 20:29 533K
castero-0.9.5-r3.apk 25-Oct-2024 20:29 52K
castero-pyc-0.9.5-r3.apk 25-Oct-2024 20:29 95K
catcodec-1.0.5-r2.apk 25-Oct-2024 20:29 13K
catcodec-doc-1.0.5-r2.apk 25-Oct-2024 20:29 5029
catdoc-0.95-r1.apk 25-Oct-2024 20:29 113K
catdoc-doc-0.95-r1.apk 25-Oct-2024 20:29 9469
cava-0.10.3-r0.apk 16-Nov-2024 19:15 45K
cc65-2.19-r0.apk 25-Oct-2024 20:29 9M
ccrtp-2.1.2-r0.apk 25-Oct-2024 20:29 89K
ccrtp-dev-2.1.2-r0.apk 25-Oct-2024 20:29 53K
ccrtp-doc-2.1.2-r0.apk 25-Oct-2024 20:29 31K
ccze-0.2.1-r1.apk 25-Oct-2024 20:29 50K
ccze-dev-0.2.1-r1.apk 25-Oct-2024 20:29 3398
ccze-doc-0.2.1-r1.apk 25-Oct-2024 20:29 9050
cdba-1.0-r2.apk 25-Oct-2024 20:29 8304
cdba-server-1.0-r2.apk 25-Oct-2024 20:29 23K
cddlib-0.94m-r2.apk 25-Oct-2024 20:29 220K
cddlib-dev-0.94m-r2.apk 25-Oct-2024 20:29 14K
cddlib-doc-0.94m-r2.apk 25-Oct-2024 20:29 864K
cddlib-static-0.94m-r2.apk 25-Oct-2024 20:29 290K
cddlib-tools-0.94m-r2.apk 25-Oct-2024 20:29 40K
cdist-7.0.0-r6.apk 25-Oct-2024 20:29 511K
cdist-pyc-7.0.0-r6.apk 25-Oct-2024 20:29 128K
cdogs-sdl-2.1.0-r0.apk 25-Oct-2024 20:29 33M
certbot-dns-njalla-1.0.2-r3.apk 25-Oct-2024 20:29 14K
certbot-dns-pdns-0.1.1-r0.apk 25-Oct-2024 20:29 9065
certbot-dns-pdns-pyc-0.1.1-r0.apk 25-Oct-2024 20:29 3961
certigo-1.16.0-r18.apk 25-Oct-2024 20:29 3M
certstrap-1.3.0-r18.apk 25-Oct-2024 20:29 2M
cfssl-1.6.5-r0.apk 25-Oct-2024 20:29 28M
cgiirc-0.5.12-r1.apk 25-Oct-2024 20:29 132K
cgo-0.6.1-r1.apk 25-Oct-2024 20:29 10K
cgo-doc-0.6.1-r1.apk 25-Oct-2024 20:29 4211
chamo-4.0-r0.apk 25-Oct-2024 20:29 8M
chamo-byte-4.0-r0.apk 25-Oct-2024 20:29 1M
chamo-dev-4.0-r0.apk 25-Oct-2024 20:29 4M
charls-2.4.2-r0.apk 25-Oct-2024 20:29 69K
charls-dev-2.4.2-r0.apk 25-Oct-2024 20:29 27K
checkpolicy-3.6-r0.apk 25-Oct-2024 20:29 379K
checkpolicy-doc-3.6-r0.apk 25-Oct-2024 20:29 4267
cherrytree-1.2.0-r0.apk 05-Nov-2024 21:55 3M
cherrytree-doc-1.2.0-r0.apk 05-Nov-2024 21:55 2146
cherrytree-lang-1.2.0-r0.apk 05-Nov-2024 21:55 846K
chicago95-3.0.1_git20240619-r0.apk 25-Oct-2024 20:29 481K
chicago95-fonts-3.0.1_git20240619-r0.apk 25-Oct-2024 20:29 215K
chicago95-icons-3.0.1_git20240619-r0.apk 25-Oct-2024 20:29 12M
chocolate-doom-3.1.0-r0.apk 25-Oct-2024 20:29 2M
chocolate-doom-doc-3.1.0-r0.apk 25-Oct-2024 20:29 231K
cilium-cli-0.16.13-r0.apk 25-Oct-2024 20:30 54M
cilium-cli-bash-completion-0.16.13-r0.apk 25-Oct-2024 20:30 5181
cilium-cli-fish-completion-0.16.13-r0.apk 25-Oct-2024 20:30 4426
cilium-cli-zsh-completion-0.16.13-r0.apk 25-Oct-2024 20:30 4132
cimg-3.4.1-r0.apk 25-Oct-2024 20:30 826K
circuslinux-1.0.3-r1.apk 25-Oct-2024 20:30 21K
circuslinux-data-1.0.3-r1.apk 25-Oct-2024 20:30 1M
circuslinux-doc-1.0.3-r1.apk 25-Oct-2024 20:30 18K
ckb-next-0.6.0-r1.apk 25-Oct-2024 20:30 1M
ckb-next-daemon-0.6.0-r1.apk 25-Oct-2024 20:30 77K
ckb-next-daemon-openrc-0.6.0-r1.apk 25-Oct-2024 20:30 1901
ckb-next-dev-0.6.0-r1.apk 25-Oct-2024 20:30 5139
clatd-1.6-r0.apk 25-Oct-2024 20:30 13K
clementine-1.4.0_git20220324-r12.apk 25-Oct-2024 20:30 8M
clevis-19-r0.apk 25-Oct-2024 20:30 46K
clevis-bash-completion-19-r0.apk 25-Oct-2024 20:30 2163
clevis-dbg-19-r0.apk 25-Oct-2024 20:30 51K
clevis-doc-19-r0.apk 25-Oct-2024 20:30 22K
clevis-extra-pins-0_git20230629-r0.apk 25-Oct-2024 20:30 4766
click-0.5.2-r3.apk 25-Oct-2024 20:30 164K
click-dev-0.5.2-r3.apk 25-Oct-2024 20:30 9480
click-doc-0.5.2-r3.apk 25-Oct-2024 20:30 3416
click-pyc-0.5.2-r3.apk 25-Oct-2024 20:30 176K
clinfo-3.0.23.01.25-r0.apk 25-Oct-2024 20:30 49K
clinfo-doc-3.0.23.01.25-r0.apk 25-Oct-2024 20:30 6629
cliphist-0.6.1-r0.apk 25-Oct-2024 20:30 934K
cliphist-fzf-0.6.1-r0.apk 25-Oct-2024 20:30 1777
clipit-1.4.5-r3.apk 25-Oct-2024 20:30 68K
clipit-doc-1.4.5-r3.apk 25-Oct-2024 20:30 2439
cliquer-1.22-r2.apk 25-Oct-2024 20:30 7741
cliquer-dev-1.22-r2.apk 25-Oct-2024 20:30 7604
cliquer-libs-1.22-r2.apk 25-Oct-2024 20:30 29K
cliquer-static-1.22-r2.apk 25-Oct-2024 20:30 33K
cliquer-tests-1.22-r2.apk 25-Oct-2024 20:30 24K
cln-1.3.7-r0.apk 25-Oct-2024 20:30 479K
cln-dev-1.3.7-r0.apk 25-Oct-2024 20:30 1M
cln-doc-1.3.7-r0.apk 25-Oct-2024 20:30 78K
cloudflared-2024.10.0-r0.apk 25-Oct-2024 20:30 9M
cloudflared-doc-2024.10.0-r0.apk 25-Oct-2024 20:30 1890
cloudflared-openrc-2024.10.0-r0.apk 25-Oct-2024 20:30 1773
cluster-glue-1.0.12-r5.apk 25-Oct-2024 20:30 260K
cluster-glue-dev-1.0.12-r5.apk 25-Oct-2024 20:30 1M
cluster-glue-doc-1.0.12-r5.apk 25-Oct-2024 20:30 33K
cluster-glue-libs-1.0.12-r5.apk 25-Oct-2024 20:30 117K
clustershell-1.9.2-r1.apk 25-Oct-2024 20:30 158K
clustershell-doc-1.9.2-r1.apk 25-Oct-2024 20:30 24K
clustershell-pyc-1.9.2-r1.apk 25-Oct-2024 20:30 378K
coccinelle-1.1.1-r2.apk 25-Oct-2024 20:30 8M
coccinelle-bash-completion-1.1.1-r2.apk 25-Oct-2024 20:30 2919
coccinelle-doc-1.1.1-r2.apk 25-Oct-2024 20:30 16K
cocogitto-6.1.0-r0.apk 25-Oct-2024 20:30 2M
cocogitto-bash-completion-6.1.0-r0.apk 25-Oct-2024 20:30 3189
cocogitto-doc-6.1.0-r0.apk 25-Oct-2024 20:30 35K
cocogitto-fish-completion-6.1.0-r0.apk 25-Oct-2024 20:30 3440
cocogitto-zsh-completion-6.1.0-r0.apk 25-Oct-2024 20:30 3190
codeberg-cli-0.4.6-r0.apk 14-Nov-2024 13:00 2M
codeberg-cli-bash-completion-0.4.6-r0.apk 14-Nov-2024 13:00 4973
codeberg-cli-fish-completion-0.4.6-r0.apk 14-Nov-2024 13:00 4561
codeberg-cli-zsh-completion-0.4.6-r0.apk 14-Nov-2024 13:00 6506
coin-4.0.0-r7.apk 25-Oct-2024 20:30 3M
coin-dev-4.0.0-r7.apk 25-Oct-2024 20:30 354K
colormake-0.9.20170221-r0.apk 25-Oct-2024 20:30 4100
colormake-doc-0.9.20170221-r0.apk 25-Oct-2024 20:30 2714
colorpicker-0_git20201128-r1.apk 25-Oct-2024 20:30 4307
comics-downloader-0.33.8-r5.apk 25-Oct-2024 20:30 4M
comics-downloader-gui-0.33.8-r5.apk 25-Oct-2024 20:30 5M
commoncpp-7.0.1-r1.apk 25-Oct-2024 20:30 303K
commoncpp-dev-7.0.1-r1.apk 25-Oct-2024 20:30 173K
commoncpp-doc-7.0.1-r1.apk 25-Oct-2024 20:30 15K
commoncpp-tools-7.0.1-r1.apk 25-Oct-2024 20:30 44K
compiz-0.9.14.2-r6.apk 25-Oct-2024 20:30 6M
compiz-dev-0.9.14.2-r6.apk 25-Oct-2024 20:30 121K
compiz-lang-0.9.14.2-r6.apk 25-Oct-2024 20:30 1M
compiz-pyc-0.9.14.2-r6.apk 25-Oct-2024 20:30 112K
compiz-utils-0.9.14.2-r6.apk 25-Oct-2024 20:30 3413
confd-0.30.0-r0.apk 25-Oct-2024 20:30 8M
confd-doc-0.30.0-r0.apk 25-Oct-2024 20:30 2323
confd-openrc-0.30.0-r0.apk 25-Oct-2024 20:30 1750
conntracct-0.2.7-r26.apk 25-Oct-2024 20:30 5M
conntracct-openrc-0.2.7-r26.apk 25-Oct-2024 20:30 1993
console_bridge-1.0.2-r0.apk 25-Oct-2024 20:30 9637
console_bridge-dev-1.0.2-r0.apk 25-Oct-2024 20:30 4841
consul-replicate-0.4.0-r26.apk 25-Oct-2024 20:30 3M
contractor-0.3.5-r0.apk 12-Nov-2024 21:54 28K
convert2json-1.1.0-r0.apk 02-Nov-2024 10:02 3M
copyq-9.1.0-r0.apk 18-Nov-2024 19:48 3M
copyq-bash-completion-9.1.0-r0.apk 18-Nov-2024 19:48 2261
copyq-doc-9.1.0-r0.apk 18-Nov-2024 19:48 3519
cowsay-3.04-r2.apk 25-Oct-2024 20:30 18K
cowsay-doc-3.04-r2.apk 25-Oct-2024 20:30 4096
coxeter-3.0-r1.apk 25-Oct-2024 20:30 48K
coxeter-dev-3.0-r1.apk 25-Oct-2024 20:30 57K
coxeter-libs-3.0-r1.apk 25-Oct-2024 20:30 337K
cpiped-0.1.0-r0.apk 25-Oct-2024 20:30 7119
cpplint-1.6.1_git20240320-r1.apk 25-Oct-2024 20:30 76K
cpplint-pyc-1.6.1_git20240320-r1.apk 25-Oct-2024 20:30 94K
crazydiskinfo-1.1.0-r1.apk 25-Oct-2024 20:30 35K
createrepo_c-1.1.4-r0.apk 25-Oct-2024 20:30 51K
createrepo_c-bash-completion-1.1.4-r0.apk 25-Oct-2024 20:30 2950
createrepo_c-dev-1.1.4-r0.apk 25-Oct-2024 20:30 31K
createrepo_c-doc-1.1.4-r0.apk 25-Oct-2024 20:30 8862
createrepo_c-libs-1.1.4-r0.apk 25-Oct-2024 20:30 92K
cri-o-1.31.0-r1.apk 25-Oct-2024 20:30 15M
cri-o-bash-completion-1.31.0-r1.apk 25-Oct-2024 20:30 2722
cri-o-doc-1.31.0-r1.apk 25-Oct-2024 20:30 22K
cri-o-fish-completion-1.31.0-r1.apk 25-Oct-2024 20:30 8705
cri-o-openrc-1.31.0-r1.apk 25-Oct-2024 20:30 2035
cri-o-zsh-completion-1.31.0-r1.apk 25-Oct-2024 20:30 3370
crispy-doom-7.0-r0.apk 25-Oct-2024 20:30 2M
crispy-doom-doc-7.0-r0.apk 25-Oct-2024 20:30 107K
critcl-3.3.1-r0.apk 25-Oct-2024 20:30 434K
critcl-doc-3.3.1-r0.apk 25-Oct-2024 20:30 787K
crossplane-0.5.8-r3.apk 25-Oct-2024 20:30 30K
crossplane-pyc-0.5.8-r3.apk 25-Oct-2024 20:30 39K
crosstool-ng-1.26.0_git20240914-r0.apk 25-Oct-2024 20:30 2M
crosstool-ng-bash-completion-1.26.0_git20240914..> 25-Oct-2024 20:30 2215
crosstool-ng-doc-1.26.0_git20240914-r0.apk 25-Oct-2024 20:30 3958
crowdsec-1.6.3-r0.apk 25-Oct-2024 20:30 38M
crowdsec-email-plugin-1.6.3-r0.apk 25-Oct-2024 20:30 4M
crowdsec-http-plugin-1.6.3-r0.apk 25-Oct-2024 20:30 5M
crowdsec-openrc-1.6.3-r0.apk 25-Oct-2024 20:30 1822
crowdsec-slack-plugin-1.6.3-r0.apk 25-Oct-2024 20:30 5M
crowdsec-splunk-plugin-1.6.3-r0.apk 25-Oct-2024 20:30 5M
crun-vm-0.3.0-r0.apk 12-Nov-2024 11:44 1M
crun-vm-doc-0.3.0-r0.apk 12-Nov-2024 11:44 13K
cscope-15.9-r1.apk 25-Oct-2024 20:30 161K
cscope-doc-15.9-r1.apk 25-Oct-2024 20:30 7673
csfml-2.5.2-r0.apk 25-Oct-2024 20:30 102K
csfml-dev-2.5.2-r0.apk 25-Oct-2024 20:30 77K
csfml-doc-2.5.2-r0.apk 25-Oct-2024 20:30 204K
csmith-2.3.0-r2.apk 25-Oct-2024 20:30 316K
csmith-doc-2.3.0-r2.apk 25-Oct-2024 20:30 3152
csol-1.6.0-r0.apk 25-Oct-2024 20:30 39K
csol-doc-1.6.0-r0.apk 25-Oct-2024 20:30 3939
ctorrent-dnh-3.3.2-r2.apk 25-Oct-2024 20:30 89K
cups-pdf-3.0.1-r2.apk 25-Oct-2024 20:30 22K
curlftpfs-0.9.2-r3.apk 25-Oct-2024 20:30 24K
curlftpfs-doc-0.9.2-r3.apk 25-Oct-2024 20:30 6267
curtail-1.11.1-r0.apk 25-Oct-2024 20:30 27K
curtail-lang-1.11.1-r0.apk 25-Oct-2024 20:30 66K
cutechess-1.3.1-r0.apk 25-Oct-2024 20:30 1M
cutechess-cli-1.3.1-r0.apk 25-Oct-2024 20:30 361K
cutechess-cli-doc-1.3.1-r0.apk 25-Oct-2024 20:30 6740
cutechess-doc-1.3.1-r0.apk 25-Oct-2024 20:30 3652
cvise-2.8.0-r2.apk 25-Oct-2024 20:30 8M
cvise-pyc-2.8.0-r2.apk 25-Oct-2024 20:30 63K
cvs-fast-export-1.65-r0.apk 25-Oct-2024 20:30 50K
cvs-fast-export-doc-1.65-r0.apk 25-Oct-2024 20:30 17K
cvs-fast-export-tools-1.65-r0.apk 25-Oct-2024 20:30 8873
cyrus-sasl-xoauth2-0.2-r1.apk 25-Oct-2024 20:30 6970
cyrus-sasl-xoauth2-doc-0.2-r1.apk 25-Oct-2024 20:30 2332
cyrus-sasl-xoauth2-static-0.2-r1.apk 25-Oct-2024 20:30 7197
cz-viator-hourglass-black-20210706-r0.apk 25-Oct-2024 20:30 88K
daemontools-0.76-r3.apk 25-Oct-2024 20:30 69K
daemontools-openrc-0.76-r3.apk 25-Oct-2024 20:30 2007
daktilo-0.6.0-r0.apk 25-Oct-2024 20:30 2M
daktilo-bash-completion-0.6.0-r0.apk 25-Oct-2024 20:30 2220
daktilo-doc-0.6.0-r0.apk 25-Oct-2024 20:30 8875
daktilo-fish-completion-0.6.0-r0.apk 25-Oct-2024 20:30 1981
daktilo-zsh-completion-0.6.0-r0.apk 25-Oct-2024 20:30 2317
dasht-2.4.0-r0.apk 25-Oct-2024 20:30 14K
dasht-doc-2.4.0-r0.apk 25-Oct-2024 20:30 11K
dasht-zsh-completion-2.4.0-r0.apk 25-Oct-2024 20:30 2137
dbmate-2.16.0-r0.apk 25-Oct-2024 20:30 10M
dbmate-doc-2.16.0-r0.apk 25-Oct-2024 20:30 2303
dbus-broker-36-r0.apk 25-Oct-2024 20:30 87K
dbus-broker-doc-36-r0.apk 25-Oct-2024 20:30 5978
dcmtk-3.6.8-r0.apk 25-Oct-2024 20:30 1M
dcmtk-dev-3.6.8-r0.apk 25-Oct-2024 20:30 2M
dcmtk-doc-3.6.8-r0.apk 25-Oct-2024 20:30 266K
dcmtk-openrc-3.6.8-r0.apk 25-Oct-2024 20:30 1803
dcnnt-0.10.0-r1.apk 25-Oct-2024 20:30 28K
dcnnt-doc-0.10.0-r1.apk 25-Oct-2024 20:30 6749
dcnnt-pyc-0.10.0-r1.apk 25-Oct-2024 20:30 62K
ddcci-driver-linux-src-0.4.5-r0.apk 25-Oct-2024 20:30 19K
ddgr-2.2-r0.apk 25-Oct-2024 20:30 20K
ddgr-bash-completion-2.2-r0.apk 25-Oct-2024 20:30 2280
ddgr-doc-2.2-r0.apk 25-Oct-2024 20:30 12K
ddgr-fish-completion-2.2-r0.apk 25-Oct-2024 20:30 2357
ddgr-zsh-completion-2.2-r0.apk 25-Oct-2024 20:30 2762
ddnrs-0.3.0-r0.apk 25-Oct-2024 20:30 1M
ddnrs-openrc-0.3.0-r0.apk 25-Oct-2024 20:30 2040
ddserver-0_git20200930-r1.apk 25-Oct-2024 20:30 12K
deadbeef-soxr-20180801-r0.apk 25-Oct-2024 20:30 6064
debconf-1.5.82-r0.apk 25-Oct-2024 20:30 69K
debconf-bash-completion-1.5.82-r0.apk 25-Oct-2024 20:30 1898
debconf-doc-1.5.82-r0.apk 25-Oct-2024 20:30 27K
debconf-lang-1.5.82-r0.apk 25-Oct-2024 20:30 132K
debconf-utils-1.5.82-r0.apk 25-Oct-2024 20:30 6822
decoder-0.6.1-r0.apk 25-Oct-2024 20:30 2M
decoder-lang-0.6.1-r0.apk 25-Oct-2024 20:30 59K
dehydrated-0.7.1-r0.apk 25-Oct-2024 20:30 26K
desed-1.2.1-r1.apk 25-Oct-2024 20:30 451K
desed-doc-1.2.1-r1.apk 25-Oct-2024 20:30 2950
desync-0.9.5-r8.apk 25-Oct-2024 20:30 7M
detox-2.0.0-r0.apk 25-Oct-2024 20:30 117K
detox-doc-2.0.0-r0.apk 25-Oct-2024 20:30 21K
devil-1.8.0-r0.apk 25-Oct-2024 20:30 289K
devil-dev-1.8.0-r0.apk 25-Oct-2024 20:30 13K
dewduct-0.2.3-r0.apk 25-Oct-2024 20:30 1M
dex-0.9.0-r1.apk 25-Oct-2024 20:30 8113
dex-doc-0.9.0-r1.apk 25-Oct-2024 20:30 5990
dfl-applications-0.2.0-r0.apk 25-Oct-2024 20:30 33K
dfl-applications-dev-0.2.0-r0.apk 25-Oct-2024 20:30 4227
dfl-ipc-0.2.0-r0.apk 25-Oct-2024 20:30 21K
dfl-ipc-dev-0.2.0-r0.apk 25-Oct-2024 20:30 3699
dfl-login1-0.2.0-r0.apk 25-Oct-2024 20:30 17K
dfl-login1-dev-0.2.0-r0.apk 25-Oct-2024 20:30 3545
dfl-sni-0.2.0-r0.apk 25-Oct-2024 20:30 33K
dfl-sni-dev-0.2.0-r0.apk 25-Oct-2024 20:30 4226
dfu-programmer-1.1.0-r0.apk 25-Oct-2024 20:30 35K
dfu-programmer-bash-completion-1.1.0-r0.apk 25-Oct-2024 20:30 2853
dfu-programmer-doc-1.1.0-r0.apk 25-Oct-2024 20:30 5896
dhewm3-1.5.2-r0.apk 25-Oct-2024 20:30 4M
diceware-0.10-r1.apk 25-Oct-2024 20:30 218K
diceware-pyc-0.10-r1.apk 25-Oct-2024 20:30 17K
ding-libs-0.6.2-r4.apk 25-Oct-2024 20:30 78K
ding-libs-dev-0.6.2-r4.apk 25-Oct-2024 20:30 68K
disfetch-3.7-r0.apk 25-Oct-2024 20:30 8492
diskus-0.7.0-r2.apk 25-Oct-2024 20:30 393K
dislocker-0.7.3-r5.apk 25-Oct-2024 20:30 13K
dislocker-doc-0.7.3-r5.apk 25-Oct-2024 20:30 6209
dislocker-libs-0.7.3-r5.apk 25-Oct-2024 20:30 47K
dissent-0.0.25-r1.apk 25-Oct-2024 20:30 15M
dive-0.12.0-r0.apk 25-Oct-2024 20:30 4M
dived-1.9.0-r0.apk 25-Oct-2024 20:30 23K
dived-doc-1.9.0-r0.apk 25-Oct-2024 20:30 11K
dlib-19.24.4-r0.apk 25-Oct-2024 20:30 808K
dlib-dev-19.24.4-r0.apk 25-Oct-2024 20:30 2M
dmarc-cat-0.15.0-r0.apk 25-Oct-2024 20:30 3M
dnote-0.15.1-r0.apk 25-Oct-2024 20:30 4M
dnote-bash-completion-0.15.1-r0.apk 25-Oct-2024 20:30 2134
dnote-doc-0.15.1-r0.apk 25-Oct-2024 20:30 15K
dnote-zsh-completion-0.15.1-r0.apk 25-Oct-2024 20:30 2065
dnscontrol-4.14.3-r0.apk 08-Nov-2024 21:27 14M
dnscontrol-doc-4.14.3-r0.apk 08-Nov-2024 21:27 2274
dnscrypt-wrapper-0.4.2-r3.apk 25-Oct-2024 20:30 31K
dnsenum-1.3.2-r0.apk 25-Oct-2024 20:30 21K
dnsenum-doc-1.3.2-r0.apk 25-Oct-2024 20:30 5370
dnsperf-2.14.0-r0.apk 25-Oct-2024 20:30 73K
dnsperf-doc-2.14.0-r0.apk 25-Oct-2024 20:30 35K
dnssec-tools-2.2.3-r12.apk 25-Oct-2024 20:30 765K
dnssec-tools-dev-2.2.3-r12.apk 25-Oct-2024 20:30 190K
dnssec-tools-doc-2.2.3-r12.apk 25-Oct-2024 20:30 315K
doasedit-1.0.7-r0.apk 25-Oct-2024 20:30 3671
docker-auth-1.12.0-r0.apk 13-Nov-2024 11:53 9M
docker-auth-openrc-1.12.0-r0.apk 13-Nov-2024 11:53 2051
docker-volume-local-persist-1.3.0-r28.apk 25-Oct-2024 20:30 2M
docker-volume-local-persist-openrc-1.3.0-r28.apk 25-Oct-2024 20:30 1817
dockerize-0.7.0-r8.apk 25-Oct-2024 20:30 3M
dolt-1.43.13-r0.apk 13-Nov-2024 11:14 35M
dooit-3.0.3-r0.apk 17-Nov-2024 17:02 44K
dooit-extras-0.1.0-r0.apk 17-Nov-2024 17:02 13K
dooit-extras-pyc-0.1.0-r0.apk 17-Nov-2024 17:02 23K
dooit-pyc-3.0.3-r0.apk 17-Nov-2024 17:02 98K
dovi-tool-2.1.2-r0.apk 25-Oct-2024 20:30 1M
downloader-cli-0.3.4-r1.apk 25-Oct-2024 20:30 2064
draw-0.1.1-r8.apk 25-Oct-2024 20:30 948K
drawing-1.0.2-r0.apk 25-Oct-2024 20:30 3M
drawing-lang-1.0.2-r0.apk 25-Oct-2024 20:30 272K
drogon-1.9.4-r1.apk 25-Oct-2024 20:30 2M
drogon-dev-1.9.4-r1.apk 25-Oct-2024 20:30 125K
drogon-doc-1.9.4-r1.apk 25-Oct-2024 20:30 2310
droidcam-2.1.3-r1.apk 08-Nov-2024 21:25 20K
droidcam-gui-2.1.3-r1.apk 08-Nov-2024 21:25 30K
drone-cli-1.8.0-r5.apk 25-Oct-2024 20:30 6M
dropwatch-1.5.4-r6.apk 25-Oct-2024 20:30 16K
dropwatch-doc-1.5.4-r6.apk 25-Oct-2024 20:30 3784
drumgizmo-0.9.20-r1.apk 25-Oct-2024 20:30 418K
drupal7-7.102-r0.apk 21-Nov-2024 06:07 3M
drupal7-doc-7.102-r0.apk 21-Nov-2024 06:07 57K
dsnet-0.7.3-r5.apk 25-Oct-2024 20:30 4M
dsnet-doc-0.7.3-r5.apk 25-Oct-2024 20:30 9509
dsp-1.9-r2.apk 25-Oct-2024 20:30 104K
dsp-doc-1.9-r2.apk 25-Oct-2024 20:30 7321
dstask-0.26-r9.apk 25-Oct-2024 20:30 1M
dstask-bash-completion-0.26-r9.apk 25-Oct-2024 20:30 2153
dstask-import-0.26-r9.apk 25-Oct-2024 20:30 3M
dstask-zsh-completion-0.26-r9.apk 25-Oct-2024 20:30 1700
dublin-traceroute-0.4.2-r4.apk 25-Oct-2024 20:30 47K
dublin-traceroute-contrib-0.4.2-r4.apk 25-Oct-2024 20:30 2931
dublin-traceroute-dev-0.4.2-r4.apk 25-Oct-2024 20:30 7056
dublin-traceroute-doc-0.4.2-r4.apk 25-Oct-2024 20:30 2357
duc-1.4.5-r0.apk 25-Oct-2024 20:30 94K
duc-doc-1.4.5-r0.apk 25-Oct-2024 20:30 9304
duf-0.8.1-r21.apk 25-Oct-2024 20:30 1021K
dulcepan-1.0.2-r0.apk 25-Oct-2024 20:30 23K
dumb_runtime_dir-1.0.4-r3.apk 25-Oct-2024 20:30 3725
dune-deps-1.3.0-r2.apk 25-Oct-2024 20:30 952K
dustracing2d-2.1.1-r1.apk 25-Oct-2024 20:30 5M
dvdbackup-0.4.2-r1.apk 25-Oct-2024 20:30 16K
dvdbackup-doc-0.4.2-r1.apk 25-Oct-2024 20:30 7763
dvdbackup-lang-0.4.2-r1.apk 25-Oct-2024 20:30 1462
dwl-0.7-r0.apk 25-Oct-2024 20:30 31K
dwl-doc-0.7-r0.apk 25-Oct-2024 20:30 3197
e16-1.0.30-r0.apk 05-Nov-2024 13:22 808K
e16-doc-1.0.30-r0.apk 05-Nov-2024 13:22 27K
e16-lang-1.0.30-r0.apk 05-Nov-2024 13:22 380K
eatmemory-0.1.6-r2.apk 25-Oct-2024 20:30 4448
eboard-1.1.3-r1.apk 25-Oct-2024 20:30 1M
eboard-doc-1.1.3-r1.apk 25-Oct-2024 20:30 4774
eclipse-ecj-4.26-r0.apk 25-Oct-2024 20:30 2M
eclipse-ecj-doc-4.26-r0.apk 25-Oct-2024 20:30 9637
ecm-7.0.5-r1.apk 25-Oct-2024 20:30 130K
ecm-dev-7.0.5-r1.apk 25-Oct-2024 20:30 4989
ecm-doc-7.0.5-r1.apk 25-Oct-2024 20:30 7363
ecos-2.0.10-r0.apk 25-Oct-2024 20:30 43K
ecos-dev-2.0.10-r0.apk 25-Oct-2024 20:30 28K
edward-1.1.0-r0.apk 25-Oct-2024 20:30 2M
edward-doc-1.1.0-r0.apk 25-Oct-2024 20:30 5399
efl-1.27.0-r1.apk 25-Oct-2024 20:30 34M
efl-dev-1.27.0-r1.apk 25-Oct-2024 20:30 2M
efl-gdb-1.27.0-r1.apk 25-Oct-2024 20:30 1813
eiwd-2.22-r0.apk 25-Oct-2024 20:30 846K
eiwd-doc-2.22-r0.apk 25-Oct-2024 20:30 20K
eiwd-openrc-2.22-r0.apk 25-Oct-2024 20:30 1872
elementary-calculator-8.0.0-r0.apk 28-Oct-2024 22:05 72K
elementary-calculator-lang-8.0.0-r0.apk 28-Oct-2024 22:05 57K
elementary-feedback-8.0.0-r0.apk 27-Oct-2024 14:17 44K
elementary-feedback-lang-8.0.0-r0.apk 27-Oct-2024 14:17 43K
elementary-icon-theme-8.0.0-r0.apk 25-Oct-2024 20:30 5M
elementary-music-8.0.0-r0.apk 28-Oct-2024 22:06 76K
elementary-music-lang-8.0.0-r0.apk 28-Oct-2024 22:06 47K
elementary-sound-theme-1.1.0-r0.apk 10-Nov-2024 22:08 83K
elementary-videos-8.0.1-r0.apk 10-Nov-2024 22:06 115K
elementary-videos-lang-8.0.1-r0.apk 10-Nov-2024 22:06 85K
elfio-3.12-r0.apk 25-Oct-2024 20:30 1458
elfio-dev-3.12-r0.apk 25-Oct-2024 20:30 55K
emacs-ace-window-0.10.0_git20220911-r0.apk 25-Oct-2024 20:30 23K
emacs-avy-0.5.0_git20230420-r0.apk 25-Oct-2024 20:30 43K
emacs-avy-embark-collect-1.0_git20240327-r0.apk 25-Oct-2024 20:30 3957
emacs-centaur-tabs-3.2_git20230601-r0.apk 25-Oct-2024 20:30 55K
emacs-closql-1.2.1_git20240712-r0.apk 25-Oct-2024 20:30 14K
emacs-consult-1.4_git20240405-r0.apk 25-Oct-2024 20:30 138K
emacs-derl-0_git20231004-r0.apk 25-Oct-2024 20:30 23K
emacs-elfeed-3.4.1_git20240326-r0.apk 25-Oct-2024 20:30 91K
emacs-emacsql-3.1.1_git20240714-r0.apk 25-Oct-2024 20:30 23K
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk 25-Oct-2024 20:30 6205
emacs-emacsql-psql-3.1.1_git20240714-r0.apk 25-Oct-2024 20:30 5992
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk 25-Oct-2024 20:30 18K
emacs-emacsql-sqlite-module-3.1.1_git20240714-r..> 25-Oct-2024 20:30 4395
emacs-embark-1.0_git20240327-r0.apk 25-Oct-2024 20:30 110K
emacs-embark-consult-1.0_git20240327-r0.apk 25-Oct-2024 20:30 10K
emacs-ement-0.15.1-r0.apk 25-Oct-2024 20:30 287K
emacs-epkg-3.3.3_git20240713-r0.apk 25-Oct-2024 20:30 37K
emacs-fossil-0_git20230504-r0.apk 25-Oct-2024 20:30 15K
emacs-gnosis-0.3.2-r0.apk 25-Oct-2024 20:30 62K
emacs-hackernews-0.7.0-r0.apk 25-Oct-2024 20:30 16K
emacs-helm-3.9.7_git20240329-r0.apk 25-Oct-2024 20:30 815K
emacs-hnreader-0_git20221116-r0.apk 25-Oct-2024 20:30 10K
emacs-hydra-0.15.0_git20220910-r0.apk 25-Oct-2024 20:30 46K
emacs-llama-0.3.1_git20240722-r0.apk 25-Oct-2024 20:30 10K
emacs-persist-0.6_git20240114-r0.apk 25-Oct-2024 20:30 6791
emacs-powerline-2.4_git20221110-r0.apk 25-Oct-2024 20:30 29K
emacs-sqlite3-api-0.18-r0.apk 25-Oct-2024 20:30 18K
emacs-svg-lib-0_git20240219-r0.apk 25-Oct-2024 20:30 19K
emacs-taxy-0.10.1-r0.apk 25-Oct-2024 20:30 11K
emacs-taxy-magit-section-0.13-r0.apk 25-Oct-2024 20:30 17K
empede-0.2.3-r0.apk 25-Oct-2024 20:30 2M
empede-doc-0.2.3-r0.apk 25-Oct-2024 20:30 2342
empede-openrc-0.2.3-r0.apk 25-Oct-2024 20:30 1970
endeavour-43.0-r1.apk 25-Oct-2024 20:30 200K
endeavour-dev-43.0-r1.apk 25-Oct-2024 20:30 47K
endeavour-doc-43.0-r1.apk 25-Oct-2024 20:30 75K
endeavour-lang-43.0-r1.apk 25-Oct-2024 20:30 205K
endlessh-1.1-r0.apk 25-Oct-2024 20:30 9140
endlessh-doc-1.1-r0.apk 25-Oct-2024 20:30 2454
enjoy-0.3-r1.apk 25-Oct-2024 20:30 12K
enlighten-0.9.2-r1.apk 25-Oct-2024 20:30 7232
enlighten-doc-0.9.2-r1.apk 25-Oct-2024 20:30 3595
envconsul-0.13.2-r8.apk 25-Oct-2024 20:30 5M
envsubst-0.1-r1.apk 25-Oct-2024 20:30 4793
epoch-1.3.0-r2.apk 25-Oct-2024 20:30 56K
epr-2.4.15-r1.apk 25-Oct-2024 20:30 16K
epr-pyc-2.4.15-r1.apk 25-Oct-2024 20:30 24K
ergo-ldap-0.0.1-r12.apk 25-Oct-2024 20:30 2M
ergo-ldap-doc-0.0.1-r12.apk 25-Oct-2024 20:30 2332
esptool-4.8.1-r0.apk 25-Oct-2024 20:30 424K
esptool-pyc-4.8.1-r0.apk 25-Oct-2024 20:30 549K
ettercap-0.8.3.1-r3.apk 25-Oct-2024 20:30 567K
ettercap-doc-0.8.3.1-r3.apk 25-Oct-2024 20:30 45K
evolution-on-3.24.4-r0.apk 30-Oct-2024 14:41 11K
exabgp-4.2.21-r5.apk 25-Oct-2024 20:30 450K
exabgp-doc-4.2.21-r5.apk 25-Oct-2024 20:30 8298
exabgp-openrc-4.2.21-r5.apk 25-Oct-2024 20:30 2341
exabgp-pyc-4.2.21-r5.apk 25-Oct-2024 20:30 899K
exercism-3.2.0-r7.apk 25-Oct-2024 20:30 4M
exercism-bash-completion-3.2.0-r7.apk 25-Oct-2024 20:30 2034
exercism-fish-completion-3.2.0-r7.apk 25-Oct-2024 20:30 2452
exercism-zsh-completion-3.2.0-r7.apk 25-Oct-2024 20:30 2184
extrace-0.9-r0.apk 25-Oct-2024 20:30 10K
extrace-doc-0.9-r0.apk 25-Oct-2024 20:30 3601
extremetuxracer-0.8.3-r0.apk 25-Oct-2024 20:30 40M
extremetuxracer-doc-0.8.3-r0.apk 25-Oct-2024 20:30 6863
extundelete-0.2.4-r1.apk 25-Oct-2024 20:30 40K
f_scripts-0.6-r1.apk 25-Oct-2024 20:30 1482
f_scripts-f_audio-0.6-r1.apk 25-Oct-2024 20:30 3414
f_scripts-f_files-0.6-r1.apk 25-Oct-2024 20:30 2995
f_scripts-f_game-0.6-r1.apk 25-Oct-2024 20:30 1946
f_scripts-f_maps-0.6-r1.apk 25-Oct-2024 20:30 2166
f_scripts-f_networks-0.6-r1.apk 25-Oct-2024 20:30 3074
f_scripts-f_phone-0.6-r1.apk 25-Oct-2024 20:30 6140
f_scripts-f_rss-0.6-r1.apk 25-Oct-2024 20:30 2590
f_scripts-f_theme-0.6-r1.apk 25-Oct-2024 20:30 2623
f_scripts-f_timer-0.6-r1.apk 25-Oct-2024 20:30 2438
f_scripts-f_web-0.6-r1.apk 25-Oct-2024 20:30 2965
f_scripts-f_youtube-0.6-r1.apk 25-Oct-2024 20:30 2872
fabric-3.2.2-r1.apk 25-Oct-2024 20:30 55K
fabric-pyc-3.2.2-r1.apk 25-Oct-2024 20:30 60K
fakeroot-tcp-1.32.1-r1.apk 25-Oct-2024 20:30 29K
fast_float-5.2.0-r1.apk 25-Oct-2024 20:30 43K
fastd-22-r3.apk 25-Oct-2024 20:30 76K
fastd-doc-22-r3.apk 25-Oct-2024 20:30 3405
fastd-openrc-22-r3.apk 25-Oct-2024 20:30 1804
fatback-1.3-r2.apk 25-Oct-2024 20:30 29K
fatback-doc-1.3-r2.apk 25-Oct-2024 20:30 16K
fathom-1.3.1-r8.apk 25-Oct-2024 20:30 5M
fatrace-0.17.0-r0.apk 25-Oct-2024 20:30 10K
fatrace-doc-0.17.0-r0.apk 25-Oct-2024 20:30 3359
fatresize-1.1.0-r1.apk 25-Oct-2024 20:30 9038
fatresize-doc-1.1.0-r1.apk 25-Oct-2024 20:30 15K
faultstat-0.01.11-r0.apk 25-Oct-2024 20:30 13K
faultstat-bash-completion-0.01.11-r0.apk 25-Oct-2024 20:30 2354
faultstat-doc-0.01.11-r0.apk 25-Oct-2024 20:30 3109
faust-2.60.3-r2.apk 25-Oct-2024 20:30 9M
faust-dev-2.60.3-r2.apk 25-Oct-2024 20:30 771K
faust-doc-2.60.3-r2.apk 25-Oct-2024 20:30 17M
faust-static-2.60.3-r2.apk 25-Oct-2024 20:30 548K
faust-tools-2.60.3-r2.apk 25-Oct-2024 20:30 118K
faust-vim-2.60.3-r2.apk 25-Oct-2024 20:30 2686
fava-1.28-r0.apk 25-Oct-2024 20:30 1M
fava-pyc-1.28-r0.apk 25-Oct-2024 20:30 164K
fbcur-1.0.1-r1.apk 25-Oct-2024 20:30 4759
fbcur-doc-1.0.1-r1.apk 25-Oct-2024 20:30 2217
fbvnc-0_git20220812-r0.apk 25-Oct-2024 20:30 9813
fceux-2.6.6-r2.apk 25-Oct-2024 20:30 3M
fceux-doc-2.6.6-r2.apk 25-Oct-2024 20:30 106K
fdm-materials-5.2.2-r1.apk 25-Oct-2024 20:30 60K
featherpad-1.5.1-r0.apk 25-Oct-2024 20:30 788K
featherpad-lang-1.5.1-r0.apk 25-Oct-2024 20:30 463K
fff-2.2-r0.apk 25-Oct-2024 20:30 11K
fff-doc-2.2-r0.apk 25-Oct-2024 20:30 9204
fflas-ffpack-2.5.0-r3.apk 25-Oct-2024 20:30 345K
ffms2-5.0-r0.apk 25-Oct-2024 20:30 74K
ffms2-dev-5.0-r0.apk 25-Oct-2024 20:30 7791
ffms2-doc-5.0-r0.apk 25-Oct-2024 20:30 30K
ffsend-0.2.76-r4.apk 25-Oct-2024 20:30 2M
ffsend-bash-completion-0.2.76-r4.apk 25-Oct-2024 20:30 3702
ffsend-fish-completion-0.2.76-r4.apk 25-Oct-2024 20:30 3663
ffsend-zsh-completion-0.2.76-r4.apk 25-Oct-2024 20:30 4679
fheroes2-1.1.1-r0.apk 25-Oct-2024 20:30 2M
fheroes2-lang-1.1.1-r0.apk 25-Oct-2024 20:30 2M
fildesh-0.2.0-r0.apk 25-Oct-2024 20:30 70K
fildesh-doc-0.2.0-r0.apk 25-Oct-2024 20:30 2150
fildesh-vim-0.2.0-r0.apk 25-Oct-2024 20:30 3634
filebrowser-2.27.0-r6.apk 25-Oct-2024 20:30 7M
filebrowser-openrc-2.27.0-r6.apk 25-Oct-2024 20:30 1890
fileshelter-6.2.0-r1.apk 25-Oct-2024 20:30 325K
fileshelter-openrc-6.2.0-r1.apk 25-Oct-2024 20:30 1682
findtow-0.1-r0.apk 25-Oct-2024 20:30 4795
finger-0.5-r0.apk 25-Oct-2024 20:30 7027
finger-doc-0.5-r0.apk 25-Oct-2024 20:30 3889
firehol-3.1.7-r2.apk 25-Oct-2024 20:30 85K
firehol-doc-3.1.7-r2.apk 25-Oct-2024 20:30 675K
firehol-openrc-3.1.7-r2.apk 25-Oct-2024 20:30 2105
flamegraph-1.0_git20220918-r1.apk 25-Oct-2024 20:30 38K
flann-1.9.2-r0.apk 25-Oct-2024 20:30 2M
flann-dev-1.9.2-r0.apk 25-Oct-2024 20:30 1M
flann-doc-1.9.2-r0.apk 25-Oct-2024 20:30 2652
flare-engine-1.14-r0.apk 25-Oct-2024 20:30 4M
flare-engine-doc-1.14-r0.apk 25-Oct-2024 20:30 2525
flare-game-1.14-r0.apk 25-Oct-2024 20:30 2239
flashprog-1.3-r0.apk 12-Nov-2024 16:00 168K
flashprog-dev-1.3-r0.apk 12-Nov-2024 16:00 231K
flashprog-doc-1.3-r0.apk 12-Nov-2024 16:00 26K
flashprog-libs-1.3-r0.apk 12-Nov-2024 16:00 171K
flatpak-xdg-utils-1.0.6-r0.apk 25-Oct-2024 20:30 21K
flauschige-uhr-0.1-r1.apk 25-Oct-2024 20:30 4261
flawz-0.3.0-r0.apk 03-Nov-2024 21:06 1M
flawz-bash-completion-0.3.0-r0.apk 03-Nov-2024 21:06 2167
flawz-doc-0.3.0-r0.apk 03-Nov-2024 21:06 6133
flawz-fish-completion-0.3.0-r0.apk 03-Nov-2024 21:06 1956
flawz-zsh-completion-0.3.0-r0.apk 03-Nov-2024 21:06 2281
flightgear-2020.3.19-r1.apk 25-Oct-2024 20:30 11M
flightgear-bash-completion-2020.3.19-r1.apk 25-Oct-2024 20:30 4307
flightgear-dbg-2020.3.19-r1.apk 25-Oct-2024 20:30 19M
flightgear-doc-2020.3.19-r1.apk 25-Oct-2024 20:30 61K
flightgear-zsh-completion-2020.3.19-r1.apk 25-Oct-2024 20:30 5565
flintqs-1.0-r1.apk 25-Oct-2024 20:30 23K
flowd-0.9.1-r10.apk 25-Oct-2024 20:30 80K
flowd-dev-0.9.1-r10.apk 25-Oct-2024 20:30 8497
flowd-doc-0.9.1-r10.apk 25-Oct-2024 20:30 10K
flowd-openrc-0.9.1-r10.apk 25-Oct-2024 20:30 1920
fna3d-24.11-r0.apk 03-Nov-2024 21:49 194K
fna3d-dev-24.11-r0.apk 03-Nov-2024 21:49 14K
fnf-0.1-r0.apk 25-Oct-2024 20:30 18K
fnf-doc-0.1-r0.apk 25-Oct-2024 20:30 4704
foma-0.10.0_git20240712-r0.apk 25-Oct-2024 20:30 350K
foma-dev-0.10.0_git20240712-r0.apk 25-Oct-2024 20:30 8677
font-anonymous-pro-1.002-r2.apk 25-Oct-2024 20:30 264K
font-babelstone-han-15.1.3-r0.apk 25-Oct-2024 20:30 18M
font-chivo-0_git20221110-r0.apk 25-Oct-2024 20:30 792K
font-chivo-mono-0_git20221110-r0.apk 25-Oct-2024 20:30 626K
font-comic-neue-2.51-r0.apk 25-Oct-2024 20:30 249K
font-comic-neue-doc-2.51-r0.apk 25-Oct-2024 20:30 1004K
font-commit-mono-1.143-r0.apk 25-Oct-2024 20:30 251K
font-cousine-0_git20210228-r0.apk 25-Oct-2024 20:30 110K
font-fantasque-sans-1.8.0-r0.apk 25-Oct-2024 20:30 1231
font-fantasque-sans-doc-1.8.0-r0.apk 25-Oct-2024 20:30 5626
font-fantasque-sans-largelineheight-1.8.0-r0.apk 25-Oct-2024 20:30 316K
font-fantasque-sans-largelineheightnoloopk-1.8...> 25-Oct-2024 20:30 316K
font-fantasque-sans-noloopk-1.8.0-r0.apk 25-Oct-2024 20:30 316K
font-fantasque-sans-normal-1.8.0-r0.apk 25-Oct-2024 20:30 316K
font-fira-4.202-r0.apk 25-Oct-2024 20:30 1238
font-fira-code-6.2-r0.apk 25-Oct-2024 20:30 836K
font-fira-code-vf-6.2-r0.apk 25-Oct-2024 20:30 145K
font-fira-otf-4.202-r0.apk 25-Oct-2024 20:30 7M
font-fira-ttf-4.202-r0.apk 25-Oct-2024 20:30 6M
font-firamath-0.3.4-r0.apk 25-Oct-2024 20:30 118K
font-fontawesome-4-4.7.0-r3.apk 25-Oct-2024 20:30 205K
font-hanazono-20170904-r1.apk 25-Oct-2024 20:30 29M
font-intel-one-mono-1.3.0-r0.apk 25-Oct-2024 20:30 281K
font-katex-0.16.2-r0.apk 25-Oct-2024 20:30 852K
font-material-icons-4.0.0-r0.apk 25-Oct-2024 20:30 652K
font-monaspace-1.101-r0.apk 25-Oct-2024 20:30 1489
font-monaspace-argon-1.101-r0.apk 25-Oct-2024 20:30 2M
font-monaspace-krypton-1.101-r0.apk 25-Oct-2024 20:30 2M
font-monaspace-neon-1.101-r0.apk 25-Oct-2024 20:30 2M
font-monaspace-radon-1.101-r0.apk 25-Oct-2024 20:30 3M
font-monaspace-xenon-1.101-r0.apk 25-Oct-2024 20:30 2M
font-monocraft-4.0-r0.apk 25-Oct-2024 20:30 677K
font-siji-20190218_git-r2.apk 25-Oct-2024 20:30 24K
font-stix-otf-2.13-r0.apk 25-Oct-2024 20:30 2M
font-stix-ttf-2.13-r0.apk 25-Oct-2024 20:30 430K
font-tamzen-1.11.5-r1.apk 25-Oct-2024 20:30 62K
font-teluguvijayam-20190525-r2.apk 25-Oct-2024 20:30 4M
font-tinos-0_git20210228-r0.apk 25-Oct-2024 20:30 199K
font-tiresias-0_git20200704-r0.apk 25-Oct-2024 20:30 568K
font-tiresias-doc-0_git20200704-r0.apk 25-Oct-2024 20:30 58K
foolsm-1.0.21-r0.apk 25-Oct-2024 20:30 34K
foolsm-doc-1.0.21-r0.apk 25-Oct-2024 20:30 3947
foolsm-openrc-1.0.21-r0.apk 25-Oct-2024 20:30 1588
fox-1.6.57-r0.apk 25-Oct-2024 20:30 1M
fox-adie-1.6.57-r0.apk 25-Oct-2024 20:30 125K
fox-calculator-1.6.57-r0.apk 25-Oct-2024 20:30 33K
fox-dev-1.6.57-r0.apk 25-Oct-2024 20:30 2M
fox-doc-1.6.57-r0.apk 25-Oct-2024 20:30 2M
fox-pathfinder-1.6.57-r0.apk 25-Oct-2024 20:30 52K
fox-shutterbug-1.6.57-r0.apk 25-Oct-2024 20:30 22K
fox-utils-1.6.57-r0.apk 25-Oct-2024 20:30 6686
fplll-5.5.0-r0.apk 17-Nov-2024 04:06 57K
fplll-dev-5.5.0-r0.apk 17-Nov-2024 04:06 78K
fplll-libs-5.5.0-r0.apk 17-Nov-2024 04:06 8M
fplll-static-5.5.0-r0.apk 17-Nov-2024 04:06 9M
fplll-strategies-5.5.0-r0.apk 17-Nov-2024 04:06 2M
fpp-0.9.5-r0.apk 25-Oct-2024 20:30 29K
fpp-doc-0.9.5-r0.apk 25-Oct-2024 20:30 5729
fq-0.13.0-r0.apk 25-Oct-2024 20:30 4M
freealut-1.1.0-r1.apk 25-Oct-2024 20:30 18K
freealut-dev-1.1.0-r1.apk 25-Oct-2024 20:30 23K
freediameter-1.5.0-r1.apk 25-Oct-2024 20:30 9203
freediameter-dev-1.5.0-r1.apk 25-Oct-2024 20:30 54K
freediameter-extensions-1.5.0-r1.apk 25-Oct-2024 20:30 387K
freediameter-libfdcore-1.5.0-r1.apk 25-Oct-2024 20:30 168K
freediameter-libfdproto-1.5.0-r1.apk 25-Oct-2024 20:30 89K
frescobaldi-3.3.0-r1.apk 25-Oct-2024 20:30 3M
frescobaldi-doc-3.3.0-r1.apk 25-Oct-2024 20:30 2539
frescobaldi-pyc-3.3.0-r1.apk 25-Oct-2024 20:30 1M
freshrss-1.23.1-r1.apk 25-Oct-2024 20:30 2M
freshrss-doc-1.23.1-r1.apk 25-Oct-2024 20:30 751K
freshrss-lang-1.23.1-r1.apk 25-Oct-2024 20:30 379K
freshrss-mysql-1.23.1-r1.apk 25-Oct-2024 20:30 1493
freshrss-openrc-1.23.1-r1.apk 25-Oct-2024 20:30 2586
freshrss-pgsql-1.23.1-r1.apk 25-Oct-2024 20:30 1498
freshrss-sqlite-1.23.1-r1.apk 25-Oct-2024 20:30 1494
freshrss-themes-1.23.1-r1.apk 25-Oct-2024 20:30 2M
fulcrum-1.9.8-r1.apk 25-Oct-2024 20:30 904K
fulcrum-admin-1.9.8-r1.apk 25-Oct-2024 20:30 8101
fulcrum-doc-1.9.8-r1.apk 25-Oct-2024 20:30 22K
fusee-nano-0.5.3-r1.apk 25-Oct-2024 20:30 21K
fusee-nano-udev-0.5.3-r1.apk 25-Oct-2024 20:30 1744
fusesoc-2.3-r0.apk 25-Oct-2024 20:30 46K
fusesoc-pyc-2.3-r0.apk 25-Oct-2024 20:30 89K
fxfloorboard-katana-mk2-20240515-r1.apk 25-Oct-2024 20:30 6M
fxfloorboard-katana-mk2-doc-20240515-r1.apk 25-Oct-2024 20:30 1M
fyi-1.0.4-r0.apk 25-Oct-2024 20:30 10K
fyi-bash-completion-1.0.4-r0.apk 25-Oct-2024 20:30 1815
fyi-doc-1.0.4-r0.apk 25-Oct-2024 20:30 7182
fyi-fish-completion-1.0.4-r0.apk 25-Oct-2024 20:30 2233
fzy-1.0-r3.apk 25-Oct-2024 20:30 13K
fzy-doc-1.0-r3.apk 25-Oct-2024 20:30 2792
g4music-4.1-r0.apk 30-Oct-2024 14:41 240K
g4music-lang-4.1-r0.apk 30-Oct-2024 14:41 67K
gambit-4.9.5-r0.apk 25-Oct-2024 20:30 12M
gambit-dev-4.9.5-r0.apk 25-Oct-2024 20:31 7M
gambit-doc-4.9.5-r0.apk 25-Oct-2024 20:31 4471
game-devices-udev-0.23-r0.apk 12-Nov-2024 11:02 6312
gamemode-0_git20240327-r0.apk 25-Oct-2024 20:31 74K
gamemode-dev-0_git20240327-r0.apk 25-Oct-2024 20:31 5358
gamemode-doc-0_git20240327-r0.apk 25-Oct-2024 20:31 7775
gamescope-3.14.23-r0.apk 25-Oct-2024 20:31 1M
gamja-1.0.0_beta9-r0.apk 25-Oct-2024 20:31 599K
gamja-doc-1.0.0_beta9-r0.apk 25-Oct-2024 20:31 2298
gammastep-2.0.9-r3.apk 25-Oct-2024 20:31 90K
gammastep-doc-2.0.9-r3.apk 25-Oct-2024 20:31 14K
gammastep-lang-2.0.9-r3.apk 25-Oct-2024 20:31 78K
gammastep-pyc-2.0.9-r3.apk 25-Oct-2024 20:31 17K
gatling-0.16-r6.apk 25-Oct-2024 20:31 156K
gatling-doc-0.16-r6.apk 25-Oct-2024 20:31 9288
gatling-openrc-0.16-r6.apk 25-Oct-2024 20:31 2850
gaupol-1.12-r2.apk 25-Oct-2024 20:31 276K
gaupol-doc-1.12-r2.apk 25-Oct-2024 20:31 2428
gaupol-lang-1.12-r2.apk 25-Oct-2024 20:31 277K
gaupol-pyc-1.12-r2.apk 25-Oct-2024 20:31 419K
gb-0.4.4-r26.apk 25-Oct-2024 20:31 6M
gcli-2.5.0-r0.apk 25-Oct-2024 20:31 122K
gcli-doc-2.5.0-r0.apk 25-Oct-2024 20:31 28K
gearman-dev-1.1.21-r1.apk 25-Oct-2024 20:31 1M
gearman-libs-1.1.21-r1.apk 25-Oct-2024 20:31 80K
gearmand-1.1.21-r1.apk 25-Oct-2024 20:31 182K
gearmand-doc-1.1.21-r1.apk 25-Oct-2024 20:31 190K
gearmand-openrc-1.1.21-r1.apk 25-Oct-2024 20:31 1876
gede-2.18.2-r1.apk 25-Oct-2024 20:31 271K
geodns-3.3.0-r8.apk 25-Oct-2024 20:31 4M
geodns-logs-3.3.0-r8.apk 25-Oct-2024 20:31 4M
geodns-openrc-3.3.0-r8.apk 25-Oct-2024 20:31 1849
geomyidae-0.34-r2.apk 25-Oct-2024 20:31 15K
geomyidae-doc-0.34-r2.apk 25-Oct-2024 20:31 7837
geomyidae-openrc-0.34-r2.apk 25-Oct-2024 20:31 2038
geonames-0.3.1-r2.apk 25-Oct-2024 20:31 826K
geonames-dev-0.3.1-r2.apk 25-Oct-2024 20:31 3091
geonames-doc-0.3.1-r2.apk 25-Oct-2024 20:31 13K
geonames-lang-0.3.1-r2.apk 25-Oct-2024 20:31 5M
getmail6-6.19.05-r0.apk 25-Oct-2024 20:31 71K
getmail6-doc-6.19.05-r0.apk 25-Oct-2024 20:31 138K
getmail6-pyc-6.19.05-r0.apk 25-Oct-2024 20:31 102K
getssl-2.48-r0.apk 25-Oct-2024 20:31 82K
getting-things-gnome-0.6-r3.apk 25-Oct-2024 20:31 723K
getting-things-gnome-doc-0.6-r3.apk 25-Oct-2024 20:31 498K
getting-things-gnome-lang-0.6-r3.apk 25-Oct-2024 20:31 230K
gf2x-1.3.0-r1.apk 25-Oct-2024 20:31 41K
gf2x-dev-1.3.0-r1.apk 25-Oct-2024 20:31 63K
gfan-0.6.2-r1.apk 25-Oct-2024 20:31 2M
ghc-filesystem-1.5.14-r0.apk 25-Oct-2024 20:31 39K
ghostcloud-0.9.9.5-r2.apk 25-Oct-2024 20:31 468K
ghq-1.6.2-r0.apk 25-Oct-2024 20:31 3M
ghq-bash-completion-1.6.2-r0.apk 25-Oct-2024 20:31 1817
ghq-doc-1.6.2-r0.apk 25-Oct-2024 20:31 5556
ghq-fish-completion-1.6.2-r0.apk 25-Oct-2024 20:31 2530
ghq-zsh-completion-1.6.2-r0.apk 25-Oct-2024 20:31 2505
ginac-1.8.7-r1.apk 25-Oct-2024 20:31 1M
ginac-dev-1.8.7-r1.apk 25-Oct-2024 20:31 70K
ginac-doc-1.8.7-r1.apk 25-Oct-2024 20:31 98K
git-bug-0.8.0-r14.apk 25-Oct-2024 20:31 9M
git-bug-bash-completion-0.8.0-r14.apk 25-Oct-2024 20:31 5308
git-bug-doc-0.8.0-r14.apk 25-Oct-2024 20:31 17K
git-bug-fish-completion-0.8.0-r14.apk 25-Oct-2024 20:31 4024
git-bug-zsh-completion-0.8.0-r14.apk 25-Oct-2024 20:31 4096
git-cola-4.9.0-r0.apk 18-Nov-2024 22:05 858K
git-cola-doc-4.9.0-r0.apk 18-Nov-2024 22:05 5929
git-cola-pyc-4.9.0-r0.apk 18-Nov-2024 22:05 765K
git-extras-7.3.0-r0.apk 17-Nov-2024 12:49 55K
git-extras-bash-completion-7.3.0-r0.apk 17-Nov-2024 12:49 2890
git-extras-doc-7.3.0-r0.apk 17-Nov-2024 12:49 63K
git-quick-stats-2.5.8-r0.apk 25-Oct-2024 20:31 12K
git-quick-stats-doc-2.5.8-r0.apk 25-Oct-2024 20:31 2946
git-revise-0.7.0-r5.apk 25-Oct-2024 20:31 24K
git-revise-doc-0.7.0-r5.apk 25-Oct-2024 20:31 5079
git-revise-pyc-0.7.0-r5.apk 25-Oct-2024 20:31 42K
git-secret-0.5.0-r0.apk 25-Oct-2024 20:31 15K
git-secret-doc-0.5.0-r0.apk 25-Oct-2024 20:31 17K
git2json-0.2.3-r8.apk 25-Oct-2024 20:31 7603
git2json-pyc-0.2.3-r8.apk 25-Oct-2024 20:31 5825
glfw-wayland-3.3.8-r3.apk 25-Oct-2024 20:31 68K
glfw-wayland-dbg-3.3.8-r3.apk 25-Oct-2024 20:31 194K
glfw-wayland-dev-3.3.8-r3.apk 25-Oct-2024 20:31 46K
gliderlabs-sigil-0.11.0-r0.apk 25-Oct-2024 20:31 3M
gliderlabs-sigil-doc-0.11.0-r0.apk 25-Oct-2024 20:31 2469
glmark2-2023.01-r1.apk 25-Oct-2024 20:31 8M
glmark2-doc-2023.01-r1.apk 25-Oct-2024 20:31 13K
gloox-1.0.28-r0.apk 25-Oct-2024 20:31 371K
gloox-dev-1.0.28-r0.apk 25-Oct-2024 20:31 888K
glow-2.0.0-r0.apk 25-Oct-2024 20:31 6M
glow-bash-completion-2.0.0-r0.apk 25-Oct-2024 20:31 5174
glow-doc-2.0.0-r0.apk 25-Oct-2024 20:31 3262
glow-fish-completion-2.0.0-r0.apk 25-Oct-2024 20:31 4418
glow-zsh-completion-2.0.0-r0.apk 25-Oct-2024 20:31 4136
glslviewer-3.2.4-r0.apk 25-Oct-2024 20:31 2M
gmenuharness-0.1.4-r1.apk 25-Oct-2024 20:31 40K
gmenuharness-dev-0.1.4-r1.apk 25-Oct-2024 20:31 4357
gnome-common-3.18.0-r3.apk 25-Oct-2024 20:31 12K
gnome-metronome-1.3.0-r0.apk 25-Oct-2024 20:31 567K
gnome-metronome-lang-1.3.0-r0.apk 25-Oct-2024 20:31 25K
gnome-user-share-47.0-r0.apk 25-Oct-2024 20:31 14K
gnome-user-share-lang-47.0-r0.apk 25-Oct-2024 20:31 66K
gnu-apl-1.9-r0.apk 25-Oct-2024 20:31 1M
gnu-apl-dev-1.9-r0.apk 25-Oct-2024 20:31 617K
gnu-apl-doc-1.9-r0.apk 25-Oct-2024 20:31 2M
go-jsonnet-0.20.0-r9.apk 25-Oct-2024 20:31 6M
go-mtpfs-1.0.0-r22.apk 25-Oct-2024 20:31 1M
go-passbolt-cli-0.3.1-r3.apk 25-Oct-2024 20:31 7M
gomp-1.0.0-r7.apk 25-Oct-2024 20:31 3M
goomwwm-1.0.0-r5.apk 25-Oct-2024 20:31 51K
goreman-0.3.15-r8.apk 25-Oct-2024 20:31 2M
gortr-0.14.8-r8.apk 25-Oct-2024 20:31 10M
gortr-openrc-0.14.8-r8.apk 25-Oct-2024 20:31 2024
gosu-1.17-r5.apk 25-Oct-2024 20:31 1M
gotestsum-1.12.0-r2.apk 25-Oct-2024 20:31 2M
gotify-2.5.0-r0.apk 25-Oct-2024 20:31 9M
gotify-cli-2.3.2-r0.apk 25-Oct-2024 20:31 4M
gotify-openrc-2.5.0-r0.apk 25-Oct-2024 20:31 2069
goxel-0.15.1-r0.apk 25-Oct-2024 20:31 2M
gpa-0.10.0-r2.apk 25-Oct-2024 20:31 252K
gpa-doc-0.10.0-r2.apk 25-Oct-2024 20:31 2921
gprbuild-22.0.0-r3.apk 25-Oct-2024 20:31 13M
gpscorrelate-2.2-r0.apk 12-Nov-2024 11:07 48K
gpscorrelate-cli-2.2-r0.apk 12-Nov-2024 11:07 24K
gpscorrelate-doc-2.2-r0.apk 12-Nov-2024 11:07 228K
gpscorrelate-lang-2.2-r0.apk 12-Nov-2024 11:07 17K
granite7-7.5.0-r0.apk 25-Oct-2024 20:31 126K
granite7-dev-7.5.0-r0.apk 25-Oct-2024 20:31 43K
granite7-lang-7.5.0-r0.apk 25-Oct-2024 20:31 52K
grass-0.13.4-r0.apk 25-Oct-2024 20:31 1016K
greetd-mini-wl-greeter-0_git20230821-r0.apk 25-Oct-2024 20:31 20K
greetd-mini-wl-greeter-bash-completion-0_git202..> 25-Oct-2024 20:31 2247
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk 25-Oct-2024 20:31 3361
grip-4.2.4-r0.apk 25-Oct-2024 20:31 384K
grip-doc-4.2.4-r0.apk 25-Oct-2024 20:31 6329
grip-lang-4.2.4-r0.apk 25-Oct-2024 20:31 144K
grlx-1.0.5-r0.apk 25-Oct-2024 20:31 14M
grlx-farmer-1.0.5-r0.apk 25-Oct-2024 20:31 13M
grlx-farmer-openrc-1.0.5-r0.apk 25-Oct-2024 20:31 1668
grlx-sprout-1.0.5-r0.apk 25-Oct-2024 20:31 7M
grlx-sprout-openrc-1.0.5-r0.apk 25-Oct-2024 20:31 1661
grommunio-admin-common-1.0_git20240313-r1.apk 25-Oct-2024 20:31 9397
grommunio-common-1.0-r2.apk 25-Oct-2024 20:31 6424
grommunio-common-openrc-1.0-r2.apk 25-Oct-2024 20:31 1759
grommunio-error-pages-1.0_git20231031-r0.apk 25-Oct-2024 20:31 24K
grommunio-index-1.0-r2.apk 25-Oct-2024 20:31 46K
grommunio-mapi-header-php-1.4-r0.apk 25-Oct-2024 20:31 94K
gron-0.7.1-r19.apk 25-Oct-2024 20:31 2M
grpcui-1.4.1-r3.apk 25-Oct-2024 20:31 9M
grpcurl-1.9.1-r3.apk 25-Oct-2024 20:31 8M
gsettings-qt-0.2_git20220807-r1.apk 25-Oct-2024 20:31 47K
gsettings-qt-dev-0.2_git20220807-r1.apk 25-Oct-2024 20:31 3668
gsimplecal-2.5.1-r0.apk 25-Oct-2024 20:31 17K
gsimplecal-doc-2.5.1-r0.apk 25-Oct-2024 20:31 5947
gst-rtsp-server-1.24.9-r0.apk 18-Nov-2024 14:37 234K
gst-rtsp-server-dev-1.24.9-r0.apk 18-Nov-2024 14:37 93K
gstreamermm-1.10.0-r4.apk 25-Oct-2024 20:31 523K
gstreamermm-dev-1.10.0-r4.apk 25-Oct-2024 20:31 320K
gtk4-layer-shell-1.0.4-r1.apk 08-Nov-2024 06:50 17K
gtk4-layer-shell-demo-1.0.4-r1.apk 08-Nov-2024 06:50 11K
gtk4-layer-shell-dev-1.0.4-r1.apk 08-Nov-2024 06:50 9256
gtk4-layer-shell-doc-1.0.4-r1.apk 08-Nov-2024 06:50 2286
gtklock-2.1.0-r0.apk 25-Oct-2024 20:31 18K
gtklock-doc-2.1.0-r0.apk 25-Oct-2024 20:31 2967
gtkwave-3.3.120-r0.apk 25-Oct-2024 20:31 3M
gtkwave-doc-3.3.120-r0.apk 25-Oct-2024 20:31 27K
guake-3.10-r1.apk 25-Oct-2024 20:31 305K
guake-lang-3.10-r1.apk 25-Oct-2024 20:31 188K
guake-pyc-3.10-r1.apk 25-Oct-2024 20:31 186K
guestfs-tools-1.52.0-r1.apk 25-Oct-2024 20:31 327K
guetzli-0_git20191025-r1.apk 25-Oct-2024 20:31 190K
guetzli-dev-0_git20191025-r1.apk 25-Oct-2024 20:31 3M
gufw-24.04-r3.apk 19-Nov-2024 22:01 596K
gufw-doc-24.04-r3.apk 19-Nov-2024 22:01 4589
gufw-lang-24.04-r3.apk 19-Nov-2024 22:01 855K
gufw-pyc-24.04-r3.apk 19-Nov-2024 22:01 65K
gutenprint-5.3.4-r5.apk 25-Oct-2024 20:31 821K
gutenprint-cups-5.3.4-r5.apk 25-Oct-2024 20:31 49M
gutenprint-dev-5.3.4-r5.apk 25-Oct-2024 20:31 36K
gutenprint-doc-5.3.4-r5.apk 25-Oct-2024 20:31 8612
gutenprint-lang-5.3.4-r5.apk 25-Oct-2024 20:31 2M
gutenprint-libs-5.3.4-r5.apk 25-Oct-2024 20:31 676K
gutenprint-samples-5.3.4-r5.apk 25-Oct-2024 20:31 647K
gutenprint-static-5.3.4-r5.apk 25-Oct-2024 20:31 858K
gx-0.14.3-r25.apk 25-Oct-2024 20:31 4M
gx-doc-0.14.3-r25.apk 25-Oct-2024 20:31 2328
gx-go-1.9.0-r27.apk 25-Oct-2024 20:31 5M
gx-go-doc-1.9.0-r27.apk 25-Oct-2024 20:31 2319
h4h5tools-2.2.5-r4.apk 25-Oct-2024 20:31 109K
h4h5tools-dev-2.2.5-r4.apk 25-Oct-2024 20:31 8967
h4h5tools-doc-2.2.5-r4.apk 25-Oct-2024 20:31 2791
h4h5tools-static-2.2.5-r4.apk 25-Oct-2024 20:31 106K
halp-0.2.0-r0.apk 25-Oct-2024 20:31 1008K
halp-bash-completion-0.2.0-r0.apk 25-Oct-2024 20:31 2237
halp-doc-0.2.0-r0.apk 25-Oct-2024 20:31 7048
halp-fish-completion-0.2.0-r0.apk 25-Oct-2024 20:31 2003
halp-zsh-completion-0.2.0-r0.apk 25-Oct-2024 20:31 2463
hamster-time-tracker-3.0.3-r2.apk 25-Oct-2024 20:31 156K
hamster-time-tracker-bash-completion-3.0.3-r2.apk 25-Oct-2024 20:31 2020
hamster-time-tracker-doc-3.0.3-r2.apk 25-Oct-2024 20:31 116K
hamster-time-tracker-lang-3.0.3-r2.apk 25-Oct-2024 20:31 206K
hamster-time-tracker-pyc-3.0.3-r2.apk 25-Oct-2024 20:31 358K
handlebars-1.0.0-r1.apk 25-Oct-2024 20:31 108K
handlebars-dev-1.0.0-r1.apk 25-Oct-2024 20:31 32K
handlebars-utils-1.0.0-r1.apk 25-Oct-2024 20:31 10K
haproxy-dataplaneapi-3.0.1-r0.apk 25-Oct-2024 20:31 11M
haproxy-dataplaneapi-openrc-3.0.1-r0.apk 25-Oct-2024 20:31 2193
harminv-1.4.2-r1.apk 25-Oct-2024 20:31 8071
harminv-dev-1.4.2-r1.apk 25-Oct-2024 20:31 3208
harminv-doc-1.4.2-r1.apk 25-Oct-2024 20:31 5801
harminv-libs-1.4.2-r1.apk 25-Oct-2024 20:31 15K
hatop-0.8.2-r0.apk 25-Oct-2024 20:31 18K
hatop-doc-0.8.2-r0.apk 25-Oct-2024 20:31 3062
hctl-0.2.6-r0.apk 25-Oct-2024 20:31 1M
hddfancontrol-1.6.2-r0.apk 25-Oct-2024 20:31 33K
hddfancontrol-openrc-1.6.2-r0.apk 25-Oct-2024 20:31 2239
hddfancontrol-pyc-1.6.2-r0.apk 25-Oct-2024 20:31 34K
hdf4-4.2.15-r2.apk 25-Oct-2024 20:31 264K
hdf4-dev-4.2.15-r2.apk 25-Oct-2024 20:31 101K
hdf4-doc-4.2.15-r2.apk 25-Oct-2024 20:31 6154
hdf4-tools-4.2.15-r2.apk 25-Oct-2024 20:31 199K
hdr10plus-tool-1.6.0-r0.apk 25-Oct-2024 20:31 891K
heisenbridge-1.14.6-r0.apk 25-Oct-2024 20:31 67K
heisenbridge-pyc-1.14.6-r0.apk 25-Oct-2024 20:31 152K
helm-ls-0.0.12-r4.apk 25-Oct-2024 20:31 11M
helm-ls-doc-0.0.12-r4.apk 25-Oct-2024 20:31 2303
helmfile-0.169.0-r0.apk 25-Oct-2024 20:31 44M
helmfile-bash-completion-0.169.0-r0.apk 25-Oct-2024 20:31 5193
helmfile-doc-0.169.0-r0.apk 25-Oct-2024 20:31 2248
helmfile-fish-completion-0.169.0-r0.apk 25-Oct-2024 20:31 4375
helmfile-zsh-completion-0.169.0-r0.apk 25-Oct-2024 20:31 4081
herbe-1.0.0-r0.apk 25-Oct-2024 20:31 5946
hexdiff-0.0.53-r2.apk 25-Oct-2024 20:31 15K
hexdiff-doc-0.0.53-r2.apk 25-Oct-2024 20:31 3817
hexedit-1.6_git20230905-r0.apk 25-Oct-2024 20:31 19K
hexedit-doc-1.6_git20230905-r0.apk 25-Oct-2024 20:31 5728
hexer-1.4.0-r16.apk 07-Nov-2024 23:41 59K
hexer-dev-1.4.0-r16.apk 07-Nov-2024 23:41 7495
hfst-3.16.0-r2.apk 25-Oct-2024 20:31 1M
hfst-dev-3.16.0-r2.apk 25-Oct-2024 20:31 213K
hfst-doc-3.16.0-r2.apk 25-Oct-2024 20:31 71K
hfst-libs-3.16.0-r2.apk 25-Oct-2024 20:31 2M
hiawatha-11.6-r0.apk 25-Oct-2024 20:31 189K
hiawatha-doc-11.6-r0.apk 25-Oct-2024 20:31 21K
hiawatha-letsencrypt-11.6-r0.apk 25-Oct-2024 20:31 18K
hiawatha-openrc-11.6-r0.apk 25-Oct-2024 20:31 1716
hidrd-0.2.0_git20190603-r1.apk 25-Oct-2024 20:31 80K
hidrd-dev-0.2.0_git20190603-r1.apk 25-Oct-2024 20:31 131K
highctidh-1.0.2024050500-r0.apk 25-Oct-2024 20:31 389K
highctidh-dev-1.0.2024050500-r0.apk 25-Oct-2024 20:31 424K
hikari-2.3.3-r6.apk 25-Oct-2024 20:31 949K
hikari-doc-2.3.3-r6.apk 25-Oct-2024 20:31 14K
hikari-unlocker-2.3.3-r6.apk 25-Oct-2024 20:31 4215
hilbish-2.3.2-r0.apk 25-Oct-2024 20:31 3M
hilbish-doc-2.3.2-r0.apk 25-Oct-2024 20:31 26K
hiprompt-gtk-py-0.8.0-r0.apk 25-Oct-2024 20:31 8451
hitide-0.15.0-r0.apk 25-Oct-2024 20:31 2M
hitide-openrc-0.15.0-r0.apk 25-Oct-2024 20:31 2187
homebank-5.8.5-r0.apk 25-Oct-2024 20:31 2M
homebank-lang-5.8.5-r0.apk 25-Oct-2024 20:31 921K
hopalong-0.1-r3.apk 25-Oct-2024 20:31 24K
howard-bc-7.0.3-r0.apk 25-Oct-2024 20:31 75K
howard-bc-doc-7.0.3-r0.apk 25-Oct-2024 20:31 39K
hping3-20051105-r4.apk 25-Oct-2024 20:31 76K
hping3-doc-20051105-r4.apk 25-Oct-2024 20:31 17K
hsetroot-1.0.5-r1.apk 25-Oct-2024 20:31 11K
htmlcxx-0.87-r1.apk 25-Oct-2024 20:31 64K
htmlcxx-dev-0.87-r1.apk 25-Oct-2024 20:31 21K
htmldoc-1.9.18-r1.apk 25-Oct-2024 20:31 2M
htmldoc-doc-1.9.18-r1.apk 25-Oct-2024 20:31 98K
htpdate-2.0.0-r0.apk 13-Nov-2024 20:13 12K
htpdate-doc-2.0.0-r0.apk 13-Nov-2024 20:13 3916
htpdate-openrc-2.0.0-r0.apk 13-Nov-2024 20:13 1838
htslib-1.19-r0.apk 25-Oct-2024 20:31 404K
htslib-dev-1.19-r0.apk 25-Oct-2024 20:31 115K
htslib-doc-1.19-r0.apk 25-Oct-2024 20:31 23K
htslib-static-1.19-r0.apk 25-Oct-2024 20:31 501K
htslib-tools-1.19-r0.apk 25-Oct-2024 20:31 1M
httpie-oauth-1.0.2-r9.apk 25-Oct-2024 20:31 3446
httpie-oauth-pyc-1.0.2-r9.apk 25-Oct-2024 20:31 2364
httrack-3.49.2-r5.apk 25-Oct-2024 20:31 753K
httrack-doc-3.49.2-r5.apk 25-Oct-2024 20:31 528K
hub-2.14.2-r26.apk 25-Oct-2024 20:31 3M
hub-bash-completion-2.14.2-r26.apk 25-Oct-2024 20:31 4697
hub-doc-2.14.2-r26.apk 25-Oct-2024 20:31 43K
hub-fish-completion-2.14.2-r26.apk 25-Oct-2024 20:31 3374
hub-zsh-completion-2.14.2-r26.apk 25-Oct-2024 20:31 3793
hubble-cli-0.13.6-r0.apk 25-Oct-2024 20:31 18M
hubble-cli-bash-completion-0.13.6-r0.apk 25-Oct-2024 20:31 5189
hubble-cli-fish-completion-0.13.6-r0.apk 25-Oct-2024 20:31 4429
hubble-cli-zsh-completion-0.13.6-r0.apk 25-Oct-2024 20:31 4143
hunspell-ca-es-3.0.7-r0.apk 25-Oct-2024 20:31 731K
hunspell-es-ar-2.7-r0.apk 25-Oct-2024 20:31 226K
hunspell-es-ar-doc-2.7-r0.apk 25-Oct-2024 20:31 2885
hurl-5.0.1-r0.apk 25-Oct-2024 20:31 3M
hurl-doc-5.0.1-r0.apk 25-Oct-2024 20:31 8728
hw-probe-1.6.5-r2.apk 25-Oct-2024 20:31 117K
hx-1.0.15-r0.apk 25-Oct-2024 20:31 15K
hx-doc-1.0.15-r0.apk 25-Oct-2024 20:31 4902
hy-1.0.0-r0.apk 25-Oct-2024 20:31 85K
hy-pyc-1.0.0-r0.apk 25-Oct-2024 20:31 169K
hyfetch-1.99.0-r1.apk 25-Oct-2024 20:31 433K
hyfetch-bash-completion-1.99.0-r1.apk 25-Oct-2024 20:31 3361
hyfetch-doc-1.99.0-r1.apk 25-Oct-2024 20:31 17K
hyfetch-pyc-1.99.0-r1.apk 25-Oct-2024 20:31 180K
hyfetch-zsh-completion-1.99.0-r1.apk 25-Oct-2024 20:31 2577
hypnotix-3.5-r0.apk 25-Oct-2024 20:31 110K
hypnotix-lang-3.5-r0.apk 25-Oct-2024 20:31 72K
hyx-2024.02.29-r0.apk 25-Oct-2024 20:31 18K
hyx-doc-2024.02.29-r0.apk 25-Oct-2024 20:31 2290
i2util-4.2.1-r1.apk 25-Oct-2024 20:31 17K
i2util-dev-4.2.1-r1.apk 25-Oct-2024 20:31 45K
i2util-doc-4.2.1-r1.apk 25-Oct-2024 20:31 4829
i3status-rust-0.33.2-r0.apk 11-Nov-2024 03:19 6M
i3status-rust-doc-0.33.2-r0.apk 11-Nov-2024 03:19 34K
icesprog-0_git20240108-r1.apk 25-Oct-2024 20:31 9431
icesprog-udev-0_git20240108-r1.apk 25-Oct-2024 20:31 1942
icestorm-0_git20240517-r0.apk 25-Oct-2024 20:31 17M
icingaweb2-module-pnp-1.1.0-r1.apk 25-Oct-2024 20:31 8992
icingaweb2-module-pnp-doc-1.1.0-r1.apk 25-Oct-2024 20:31 1571
icmake-9.03.01-r0.apk 25-Oct-2024 20:31 130K
icmake-doc-9.03.01-r0.apk 25-Oct-2024 20:31 127K
idesk-1-r1.apk 25-Oct-2024 20:31 71K
ideviceinstaller-1.1.1-r4.apk 30-Oct-2024 22:45 15K
ideviceinstaller-doc-1.1.1-r4.apk 30-Oct-2024 22:45 2511
idevicerestore-1.0.0-r4.apk 30-Oct-2024 22:45 83K
idevicerestore-doc-1.0.0-r4.apk 30-Oct-2024 22:45 2597
ifuse-1.1.4-r5.apk 30-Oct-2024 22:45 10K
ifuse-doc-1.1.4-r5.apk 30-Oct-2024 22:45 2397
igrep-1.2.0-r0.apk 25-Oct-2024 20:31 2M
igrep-doc-1.2.0-r0.apk 25-Oct-2024 20:31 4258
ijq-1.1.0-r3.apk 25-Oct-2024 20:31 1M
ijq-doc-1.1.0-r3.apk 25-Oct-2024 20:31 3571
imapfilter-2.8.2-r0.apk 25-Oct-2024 20:31 41K
imapfilter-doc-2.8.2-r0.apk 25-Oct-2024 20:31 13K
imediff-2.6-r1.apk 25-Oct-2024 20:31 42K
imediff-doc-2.6-r1.apk 25-Oct-2024 20:31 6687
imediff-pyc-2.6-r1.apk 25-Oct-2024 20:31 44K
imgdiff-1.0.2-r21.apk 25-Oct-2024 20:31 932K
imgdiff-doc-1.0.2-r21.apk 25-Oct-2024 20:31 2311
imrsh-0_git20210320-r1.apk 25-Oct-2024 20:31 9212
imrsh-dbg-0_git20210320-r1.apk 25-Oct-2024 20:31 19K
initify-0_git20171210-r1.apk 25-Oct-2024 20:31 3359
interception-tools-0.6.8-r2.apk 25-Oct-2024 20:31 114K
interception-tools-openrc-0.6.8-r2.apk 25-Oct-2024 20:31 1728
invidtui-0.4.6-r0.apk 25-Oct-2024 20:31 4M
ip2location-8.6.1-r0.apk 25-Oct-2024 20:31 26K
ip2location-dev-8.6.1-r0.apk 25-Oct-2024 20:31 13K
ip2location-doc-8.6.1-r0.apk 25-Oct-2024 20:31 2776
iprange-1.0.4-r1.apk 25-Oct-2024 20:31 21K
iprange-doc-1.0.4-r1.apk 25-Oct-2024 20:31 4644
irccd-4.0.3-r0.apk 25-Oct-2024 20:31 277K
irccd-dev-4.0.3-r0.apk 25-Oct-2024 20:31 9855
irccd-doc-4.0.3-r0.apk 25-Oct-2024 20:31 80K
irccd-openrc-4.0.3-r0.apk 25-Oct-2024 20:31 1866
ircd-hybrid-8.2.45-r1.apk 25-Oct-2024 20:31 329K
ircd-hybrid-doc-8.2.45-r1.apk 25-Oct-2024 20:31 3725
ircdog-0.5.4-r0.apk 25-Oct-2024 20:31 2M
irctk-1.1.0-r0.apk 25-Oct-2024 20:31 29K
irctk-doc-1.1.0-r0.apk 25-Oct-2024 20:31 16K
irctk-transport-fossil-1.1.0-r0.apk 25-Oct-2024 20:31 15K
isoinfo-0_git20131217-r1.apk 25-Oct-2024 20:31 6554
isomd5sum-1.2.3-r3.apk 25-Oct-2024 20:31 21K
isomd5sum-doc-1.2.3-r3.apk 25-Oct-2024 20:31 3044
it87-src-1_p20240609-r0.apk 25-Oct-2024 20:31 30K
itd-1.1.0-r8.apk 25-Oct-2024 20:31 9M
jack_capture-0.9.73_git20210429-r2.apk 25-Oct-2024 20:31 31K
jackal-0.64.0-r10.apk 25-Oct-2024 20:31 11M
jackal-openrc-0.64.0-r10.apk 25-Oct-2024 20:31 1867
jackline-0.1.0-r3.apk 25-Oct-2024 20:31 5M
jalv-1.6.8-r1.apk 25-Oct-2024 20:31 52K
jalv-doc-1.6.8-r1.apk 25-Oct-2024 20:31 3256
jalv-gtk-1.6.8-r1.apk 25-Oct-2024 20:31 35K
jaq-1.6.0-r0.apk 25-Oct-2024 20:31 1M
java-jtharness-6.0_p12-r0.apk 25-Oct-2024 20:31 4M
java-jtharness-doc-6.0_p12-r0.apk 25-Oct-2024 20:31 12K
java-jtharness-examples-6.0_p12-r0.apk 25-Oct-2024 20:31 219K
jbigkit-2.1-r2.apk 25-Oct-2024 20:31 67K
jbigkit-dev-2.1-r2.apk 25-Oct-2024 20:31 31K
jbigkit-doc-2.1-r2.apk 25-Oct-2024 20:31 7511
jdebp-redo-1.4-r1.apk 25-Oct-2024 20:31 94K
jdebp-redo-doc-1.4-r1.apk 25-Oct-2024 20:31 12K
jdupes-1.28.0-r0.apk 25-Oct-2024 20:31 29K
jdupes-doc-1.28.0-r0.apk 25-Oct-2024 20:31 9205
jedi-language-server-0.42.0-r0.apk 09-Nov-2024 17:43 26K
jedi-language-server-pyc-0.42.0-r0.apk 09-Nov-2024 17:43 37K
jfrog-cli-2.45.0-r7.apk 25-Oct-2024 20:31 9M
jhead-3.08-r0.apk 25-Oct-2024 20:31 34K
jhead-doc-3.08-r0.apk 25-Oct-2024 20:31 8091
jicofo-1.0.1078-r0.apk 25-Oct-2024 20:31 20M
jicofo-openrc-1.0.1078-r0.apk 25-Oct-2024 20:31 2666
jitsi-meet-1.0.7792-r1.apk 25-Oct-2024 20:31 18M
jitsi-meet-doc-1.0.7792-r1.apk 25-Oct-2024 20:31 27K
jitsi-meet-prosody-1.0.7792-r1.apk 25-Oct-2024 20:31 135K
jitsi-videobridge-2.3.105-r0.apk 25-Oct-2024 20:31 42M
jitsi-videobridge-openrc-2.3.105-r0.apk 25-Oct-2024 20:31 2637
jotdown-0.4.0-r0.apk 25-Oct-2024 20:31 251K
jreleaser-1.15.0-r0.apk 31-Oct-2024 12:52 39M
jreleaser-doc-1.15.0-r0.apk 31-Oct-2024 12:52 6014
jrsonnet-cli-0.4.2-r1.apk 25-Oct-2024 20:31 684K
jsmn-1.1.0-r2.apk 25-Oct-2024 20:31 4836
json2tsv-1.2-r0.apk 25-Oct-2024 20:31 6834
json2tsv-doc-1.2-r0.apk 25-Oct-2024 20:31 5370
json2tsv-jaq-1.2-r0.apk 25-Oct-2024 20:31 1953
json2tsv-jaq-doc-1.2-r0.apk 25-Oct-2024 20:31 2386
jsonnet-bundler-0.6.0-r0.apk 25-Oct-2024 20:31 3M
jsonnet-language-server-0.14.1-r0.apk 25-Oct-2024 20:31 4M
junit2html-31.0.2-r0.apk 25-Oct-2024 20:31 17K
junit2html-pyc-31.0.2-r0.apk 25-Oct-2024 20:31 24K
jwt-cli-6.1.1-r0.apk 25-Oct-2024 20:31 973K
k2-0_git20220807-r1.apk 25-Oct-2024 20:31 104K
k3sup-0.13.6-r0.apk 25-Oct-2024 20:31 2M
k3sup-bash-completion-0.13.6-r0.apk 25-Oct-2024 20:31 5132
k3sup-fish-completion-0.13.6-r0.apk 25-Oct-2024 20:31 4371
k3sup-zsh-completion-0.13.6-r0.apk 25-Oct-2024 20:31 4090
kabmat-2.7.0-r0.apk 25-Oct-2024 20:31 59K
kabmat-doc-2.7.0-r0.apk 25-Oct-2024 20:31 3622
kanister-tools-0.112.0-r0.apk 25-Oct-2024 20:31 60M
kanister-tools-bash-completion-0.112.0-r0.apk 25-Oct-2024 20:31 5611
kanister-tools-fish-completion-0.112.0-r0.apk 25-Oct-2024 20:31 4920
kanister-tools-zsh-completion-0.112.0-r0.apk 25-Oct-2024 20:31 4406
kannel-1.5.0-r11.apk 25-Oct-2024 20:31 6M
kannel-dev-1.5.0-r11.apk 25-Oct-2024 20:31 938K
kannel-doc-1.5.0-r11.apk 25-Oct-2024 20:31 6347
kapow-0.7.1-r8.apk 25-Oct-2024 20:31 3M
katana-1.1.0-r4.apk 25-Oct-2024 20:31 13M
katarakt-0.2-r0.apk 25-Oct-2024 20:31 91K
kdiskmark-3.1.4-r1.apk 25-Oct-2024 20:31 164K
kdiskmark-lang-3.1.4-r1.apk 25-Oct-2024 20:31 27K
keepassxc-browser-1.8.9-r0.apk 25-Oct-2024 20:31 876K
kerberoast-0.2.0-r1.apk 25-Oct-2024 20:31 9851
kerberoast-pyc-0.2.0-r1.apk 25-Oct-2024 20:31 15K
keybase-client-6.2.8-r5.apk 25-Oct-2024 20:31 18M
keydb-6.3.4-r0.apk 25-Oct-2024 20:31 1M
keydb-benchmark-6.3.4-r0.apk 25-Oct-2024 20:31 464K
keydb-cli-6.3.4-r0.apk 25-Oct-2024 20:31 376K
keydb-openrc-6.3.4-r0.apk 25-Oct-2024 20:31 2679
keystone-0.9.2-r6.apk 25-Oct-2024 20:31 1M
keystone-dev-0.9.2-r6.apk 25-Oct-2024 20:31 7477
keystone-python-0.9.2-r6.apk 25-Oct-2024 20:31 2M
keystone-python-pyc-0.9.2-r6.apk 25-Oct-2024 20:31 9909
kfc-0.1.4-r0.apk 25-Oct-2024 20:31 58K
kgraphviewer-2.5.0-r0.apk 25-Oct-2024 20:31 1M
kgraphviewer-dev-2.5.0-r0.apk 25-Oct-2024 20:31 6441
kgraphviewer-lang-2.5.0-r0.apk 25-Oct-2024 20:31 230K
khinsider-2.0.7-r15.apk 25-Oct-2024 20:31 3M
khronos-4.0.1-r0.apk 25-Oct-2024 20:31 56K
khronos-lang-4.0.1-r0.apk 25-Oct-2024 20:31 26K
kine-0.10.1-r8.apk 25-Oct-2024 20:31 8M
kine-doc-0.10.1-r8.apk 25-Oct-2024 20:31 5271
kirc-0.3.2-r0.apk 25-Oct-2024 20:31 11K
kirc-doc-0.3.2-r0.apk 25-Oct-2024 20:31 2695
kismet-0.202307.1-r4.apk 11-Nov-2024 17:20 13M
kismet-linux-bluetooth-0.202307.1-r4.apk 11-Nov-2024 17:20 47K
kismet-linux-wifi-0.202307.1-r4.apk 11-Nov-2024 17:20 66K
kismet-logtools-0.202307.1-r4.apk 11-Nov-2024 17:20 1M
kismet-nrf-51822-0.202307.1-r4.apk 11-Nov-2024 17:20 43K
kismet-nxp-kw41z-0.202307.1-r4.apk 11-Nov-2024 17:20 45K
kjv-0_git20221103-r0.apk 25-Oct-2024 20:31 2M
kmscon-9.0.0-r0.apk 25-Oct-2024 20:31 795K
kmscon-doc-9.0.0-r0.apk 25-Oct-2024 20:31 7927
knative-client-1.15.0-r0.apk 25-Oct-2024 20:31 21M
knative-client-bash-completion-1.15.0-r0.apk 25-Oct-2024 20:31 10K
knative-client-zsh-completion-1.15.0-r0.apk 25-Oct-2024 20:31 4068
knxd-0.14.61-r0.apk 25-Oct-2024 20:31 451K
knxd-dev-0.14.61-r0.apk 25-Oct-2024 20:31 24K
ko-0.15.4-r0.apk 25-Oct-2024 20:31 9M
ko-bash-completion-0.15.4-r0.apk 25-Oct-2024 20:31 5156
ko-fish-completion-0.15.4-r0.apk 25-Oct-2024 20:31 4383
ko-zsh-completion-0.15.4-r0.apk 25-Oct-2024 20:31 4108
komikku-1.60.0-r0.apk 30-Oct-2024 14:41 1001K
komikku-lang-1.60.0-r0.apk 30-Oct-2024 14:41 222K
komikku-pyc-1.60.0-r0.apk 30-Oct-2024 14:41 717K
kompose-1.31.2-r5.apk 25-Oct-2024 20:31 7M
kompose-bash-completion-1.31.2-r5.apk 25-Oct-2024 20:31 5723
kompose-fish-completion-1.31.2-r5.apk 25-Oct-2024 20:31 4465
kompose-zsh-completion-1.31.2-r5.apk 25-Oct-2024 20:31 6935
kondo-0.8-r0.apk 25-Oct-2024 20:31 764K
kondo-bash-completion-0.8-r0.apk 25-Oct-2024 20:31 2151
kondo-fish-completion-0.8-r0.apk 25-Oct-2024 20:31 2153
kondo-zsh-completion-0.8-r0.apk 25-Oct-2024 20:31 2515
kopia-0.17.0-r2.apk 25-Oct-2024 20:31 13M
kopia-bash-completion-0.17.0-r2.apk 25-Oct-2024 20:31 1802
kopia-zsh-completion-0.17.0-r2.apk 25-Oct-2024 20:31 1819
kube-no-trouble-0.7.3-r0.apk 25-Oct-2024 20:31 14M
kubeconform-0.6.6-r2.apk 25-Oct-2024 20:31 3M
kubectl-krew-0.4.4-r7.apk 25-Oct-2024 20:31 4M
kubectl-oidc_login-1.30.1-r0.apk 25-Oct-2024 20:31 5M
kubeone-1.8.3-r0.apk 25-Oct-2024 20:31 24M
kubeone-bash-completion-1.8.3-r0.apk 25-Oct-2024 20:31 6674
kubeone-doc-1.8.3-r0.apk 25-Oct-2024 20:31 19K
kubeone-zsh-completion-1.8.3-r0.apk 25-Oct-2024 20:31 4080
kubepug-1.7.1-r5.apk 25-Oct-2024 20:31 16M
kubepug-bash-completion-1.7.1-r5.apk 25-Oct-2024 20:31 5250
kubepug-fish-completion-1.7.1-r5.apk 25-Oct-2024 20:31 4438
kubepug-zsh-completion-1.7.1-r5.apk 25-Oct-2024 20:31 4143
kubeseal-0.27.1-r0.apk 25-Oct-2024 20:31 10M
kubeseal-doc-0.27.1-r0.apk 25-Oct-2024 20:31 5650
kubesplit-0.3.3-r1.apk 25-Oct-2024 20:31 13K
kubesplit-pyc-0.3.3-r1.apk 25-Oct-2024 20:31 13K
laze-0.1.24-r0.apk 25-Oct-2024 20:31 1M
laze-bash-completion-0.1.24-r0.apk 25-Oct-2024 20:31 3162
laze-doc-0.1.24-r0.apk 25-Oct-2024 20:31 3523
laze-fish-completion-0.1.24-r0.apk 25-Oct-2024 20:31 3331
laze-zsh-completion-0.1.24-r0.apk 25-Oct-2024 20:31 3548
lazymc-0.2.11-r0.apk 25-Oct-2024 20:31 1M
lazymc-openrc-0.2.11-r0.apk 25-Oct-2024 20:31 2073
lcalc-2.0.5-r2.apk 25-Oct-2024 20:31 212K
lcalc-dev-2.0.5-r2.apk 25-Oct-2024 20:31 57K
lcalc-doc-2.0.5-r2.apk 25-Oct-2024 20:31 437K
lcalc-libs-2.0.5-r2.apk 25-Oct-2024 20:31 246K
ldapdomaindump-0.9.4-r1.apk 25-Oct-2024 20:31 18K
ldapdomaindump-pyc-0.9.4-r1.apk 25-Oct-2024 20:31 31K
ledmon-1.0.0-r0.apk 25-Oct-2024 20:31 83K
ledmon-doc-1.0.0-r0.apk 25-Oct-2024 20:31 14K
lefthook-1.8.0-r0.apk 25-Oct-2024 20:31 4M
lefthook-doc-1.8.0-r0.apk 25-Oct-2024 20:31 2239
legume-1.4.2-r4.apk 25-Oct-2024 20:31 1M
legume-doc-1.4.2-r4.apk 25-Oct-2024 20:31 12K
leptosfmt-0.1.18-r0.apk 25-Oct-2024 20:31 1002K
leptosfmt-doc-0.1.18-r0.apk 25-Oct-2024 20:31 6322
levmar-dev-2.6-r0.apk 25-Oct-2024 20:31 48K
lfm-3.1-r4.apk 25-Oct-2024 20:31 88K
lfm-doc-3.1-r4.apk 25-Oct-2024 20:31 2834
lfm-pyc-3.1-r4.apk 25-Oct-2024 20:31 134K
lgogdownloader-3.12-r3.apk 25-Oct-2024 20:31 368K
lgogdownloader-doc-3.12-r3.apk 25-Oct-2024 20:31 8357
libabigail-2.3-r0.apk 25-Oct-2024 20:31 866K
libabigail-bash-completion-2.3-r0.apk 25-Oct-2024 20:31 3040
libabigail-dev-2.3-r0.apk 25-Oct-2024 20:32 1M
libabigail-doc-2.3-r0.apk 25-Oct-2024 20:32 61K
libabigail-tools-2.3-r0.apk 25-Oct-2024 20:32 106K
libantlr3c-3.4-r3.apk 25-Oct-2024 20:32 53K
libantlr3c-dev-3.4-r3.apk 25-Oct-2024 20:32 58K
libaudec-0.3.4-r3.apk 25-Oct-2024 20:32 29K
libaudec-dev-0.3.4-r3.apk 25-Oct-2024 20:32 4382
libaudec-static-0.3.4-r3.apk 25-Oct-2024 20:32 31K
libaudec-tools-0.3.4-r3.apk 25-Oct-2024 20:32 29K
libb64-2.0.0.1-r0.apk 25-Oct-2024 20:32 4663
libb64-dev-2.0.0.1-r0.apk 25-Oct-2024 20:32 5982
libb64-doc-2.0.0.1-r0.apk 25-Oct-2024 20:32 8227
libbamf-0.5.6-r1.apk 25-Oct-2024 20:32 161K
libbamf-dev-0.5.6-r1.apk 25-Oct-2024 20:32 6571
libbamf-doc-0.5.6-r1.apk 25-Oct-2024 20:32 31K
libbloom-2.0-r0.apk 25-Oct-2024 20:32 5680
libbloom-dev-2.0-r0.apk 25-Oct-2024 20:32 3566
libbraiding-1.3.1-r0.apk 17-Nov-2024 04:06 118K
libbraiding-dev-1.3.1-r0.apk 17-Nov-2024 04:06 15K
libbsoncxx-3.8.0-r0.apk 25-Oct-2024 20:32 47K
libbsoncxx-dev-3.8.0-r0.apk 25-Oct-2024 20:32 39K
libcli-1.10.7-r0.apk 25-Oct-2024 20:32 29K
libcork-0.15.0-r7.apk 25-Oct-2024 20:32 37K
libcork-dev-0.15.0-r7.apk 25-Oct-2024 20:32 30K
libcork-tools-0.15.0-r7.apk 25-Oct-2024 20:32 4627
libcorkipset-1.1.1-r4.apk 25-Oct-2024 20:32 14K
libcorkipset-dev-1.1.1-r4.apk 25-Oct-2024 20:32 8284
libcorkipset-tools-1.1.1-r4.apk 25-Oct-2024 20:32 12K
libcotp-3.1.0-r0.apk 25-Oct-2024 20:32 8340
libcotp-dev-3.1.0-r0.apk 25-Oct-2024 20:32 2523
libcryptmount-2.20-r3.apk 25-Oct-2024 20:32 11K
libcrypto1.1-1.1.1w-r1.apk 25-Oct-2024 20:32 934K
libctl-4.5.1-r1.apk 25-Oct-2024 20:32 96K
libctl-dev-4.5.1-r1.apk 25-Oct-2024 20:32 39K
libctl-doc-4.5.1-r1.apk 25-Oct-2024 20:32 3086
libcyaml-1.4.2-r0.apk 25-Oct-2024 20:32 21K
libcyaml-dev-1.4.2-r0.apk 25-Oct-2024 20:32 13K
libcyaml-doc-1.4.2-r0.apk 25-Oct-2024 20:32 8851
libcyaml-static-1.4.2-r0.apk 25-Oct-2024 20:32 24K
libdcmtk-3.6.8-r0.apk 25-Oct-2024 20:32 7M
libecap-1.0.1-r1.apk 25-Oct-2024 20:32 13K
libecap-dev-1.0.1-r1.apk 25-Oct-2024 20:32 11K
libecap-static-1.0.1-r1.apk 25-Oct-2024 20:32 17K
libecm-7.0.5-r1.apk 25-Oct-2024 20:32 234K
libemf2svg-1.1.0-r2.apk 25-Oct-2024 20:32 168K
libemf2svg-utils-1.1.0-r2.apk 25-Oct-2024 20:32 19K
liberasurecode-1.6.3-r1.apk 25-Oct-2024 20:32 44K
liberasurecode-dev-1.6.3-r1.apk 25-Oct-2024 20:32 18K
libettercap-0.8.3.1-r3.apk 25-Oct-2024 20:32 205K
libexmdbpp-1.11-r1.apk 25-Oct-2024 20:32 71K
libexmdbpp-dev-1.11-r1.apk 25-Oct-2024 20:32 24K
libfishsound-1.0.0-r1.apk 25-Oct-2024 20:32 10K
libfishsound-dev-1.0.0-r1.apk 25-Oct-2024 20:32 59K
libfishsound-doc-1.0.0-r1.apk 25-Oct-2024 20:32 75K
libfoma-0.10.0_git20240712-r0.apk 25-Oct-2024 20:32 110K
libfort-0.4.2-r0.apk 25-Oct-2024 20:32 32K
libfort-dev-0.4.2-r0.apk 25-Oct-2024 20:32 17K
libfyaml-0.9-r0.apk 25-Oct-2024 20:32 287K
libfyaml-dev-0.9-r0.apk 25-Oct-2024 20:32 42K
libfyaml-doc-0.9-r0.apk 25-Oct-2024 20:32 7598
libgivaro-4.2.0-r2.apk 25-Oct-2024 20:32 93K
libgivaro-dev-4.2.0-r2.apk 25-Oct-2024 20:32 244K
libgivaro-static-4.2.0-r2.apk 25-Oct-2024 20:32 105K
libgrapheme-1-r0.apk 25-Oct-2024 20:32 12K
libgrapheme-dev-1-r0.apk 25-Oct-2024 20:32 12K
libgrapheme-doc-1-r0.apk 25-Oct-2024 20:32 8163
libguestfs-1.52.0-r1.apk 25-Oct-2024 20:32 345K
libguestfs-dev-1.52.0-r1.apk 25-Oct-2024 20:32 29K
libguestfs-doc-1.52.0-r1.apk 25-Oct-2024 20:32 560K
libguestfs-static-1.52.0-r1.apk 25-Oct-2024 20:32 462K
libhomfly-1.02_p6-r1.apk 25-Oct-2024 20:32 21K
libhomfly-dev-1.02_p6-r1.apk 25-Oct-2024 20:32 24K
libhwpwm-0.4.4-r0.apk 25-Oct-2024 20:32 5664
libhwpwm-dev-0.4.4-r0.apk 25-Oct-2024 20:32 5806
libhwpwm-doc-0.4.4-r0.apk 25-Oct-2024 20:32 13K
libhx-4.24-r0.apk 25-Oct-2024 20:32 40K
libhx-dev-4.24-r0.apk 25-Oct-2024 20:32 15K
libideviceactivation-1.1.1-r5.apk 30-Oct-2024 22:45 17K
libideviceactivation-dev-1.1.1-r5.apk 30-Oct-2024 22:45 3389
libideviceactivation-doc-1.1.1-r5.apk 30-Oct-2024 22:45 2232
libiio-0.25-r2.apk 25-Oct-2024 20:32 56K
libiio-dev-0.25-r2.apk 25-Oct-2024 20:32 13K
libiio-doc-0.25-r2.apk 25-Oct-2024 20:32 18K
libiio-pyc-0.25-r2.apk 25-Oct-2024 20:32 21K
libiio-tools-0.25-r2.apk 25-Oct-2024 20:32 77K
libiml-1.0.5-r3.apk 25-Oct-2024 20:32 95K
libiml-dev-1.0.5-r3.apk 25-Oct-2024 20:32 4038
libiml-static-1.0.5-r3.apk 25-Oct-2024 20:32 97K
libirecovery-1.2.1-r0.apk 30-Oct-2024 22:45 29K
libirecovery-dev-1.2.1-r0.apk 30-Oct-2024 22:45 4183
libirecovery-progs-1.2.1-r0.apk 30-Oct-2024 22:45 8758
libiscsi-1.19.0-r2.apk 25-Oct-2024 20:32 62K
libiscsi-dev-1.19.0-r2.apk 25-Oct-2024 20:32 20K
libiscsi-doc-1.19.0-r2.apk 25-Oct-2024 20:32 9506
libiscsi-static-1.19.0-r2.apk 25-Oct-2024 20:32 74K
libiscsi-utils-1.19.0-r2.apk 25-Oct-2024 20:32 88K
libjodycode-3.1.1-r0.apk 25-Oct-2024 20:32 8385
libjodycode-dev-3.1.1-r0.apk 25-Oct-2024 20:32 4313
libjodycode-doc-3.1.1-r0.apk 25-Oct-2024 20:32 3755
liblastfm-qt-1.1.10_git20190823-r3.apk 25-Oct-2024 20:32 165K
liblastfm-qt-dev-1.1.10_git20190823-r3.apk 25-Oct-2024 20:32 20K
libm4ri-20240729-r1.apk 25-Oct-2024 20:32 129K
libm4ri-dev-20240729-r1.apk 25-Oct-2024 20:32 31K
libm4ri-static-20240729-r1.apk 25-Oct-2024 20:32 140K
libm4rie-20200125-r4.apk 25-Oct-2024 20:32 195K
libm4rie-dev-20200125-r4.apk 25-Oct-2024 20:32 24K
libm4rie-static-20200125-r4.apk 25-Oct-2024 20:32 222K
libmdbx-0.11.8-r0.apk 25-Oct-2024 20:32 724K
libmdbx-dbg-0.11.8-r0.apk 25-Oct-2024 20:32 3M
libmdbx-dev-0.11.8-r0.apk 25-Oct-2024 20:32 93K
libmdbx-doc-0.11.8-r0.apk 25-Oct-2024 20:32 9064
libmdf-1.0.29-r0.apk 25-Oct-2024 20:32 37K
libmdf-dev-1.0.29-r0.apk 25-Oct-2024 20:32 14K
libmedc-4.1.1-r4.apk 25-Oct-2024 20:32 620K
libmedc-dev-4.1.1-r4.apk 25-Oct-2024 20:32 38K
libmedc-doc-4.1.1-r4.apk 25-Oct-2024 20:32 41M
libmedc-python-4.1.1-r4.apk 25-Oct-2024 20:32 2M
libmedc-python-pyc-4.1.1-r4.apk 25-Oct-2024 20:32 475K
libmhash-0.9.9.9-r3.apk 25-Oct-2024 20:32 93K
libmhash-dev-0.9.9.9-r3.apk 25-Oct-2024 20:32 104K
libmhash-doc-0.9.9.9-r3.apk 25-Oct-2024 20:32 8348
libmpfi-1.5.4-r2.apk 25-Oct-2024 20:32 36K
libmpfi-dev-1.5.4-r2.apk 25-Oct-2024 20:32 5513
libmpfi-doc-1.5.4-r2.apk 25-Oct-2024 20:32 19K
libmpfi-static-1.5.4-r2.apk 25-Oct-2024 20:32 51K
libmrss-0.19.2-r1.apk 25-Oct-2024 20:32 20K
libmrss-dev-0.19.2-r1.apk 25-Oct-2024 20:32 31K
libmustache-0.5.0-r1.apk 25-Oct-2024 20:32 84K
libmygpo-qt-1.1.0-r2.apk 25-Oct-2024 20:32 87K
libmygpo-qt-dev-1.1.0-r2.apk 25-Oct-2024 20:32 12K
libnbcompat-1.0.2-r0.apk 25-Oct-2024 20:32 34K
libnbcompat-dev-1.0.2-r0.apk 25-Oct-2024 20:32 65K
libnest2d-0.4-r6.apk 25-Oct-2024 20:32 1474
libnest2d-dev-0.4-r6.apk 25-Oct-2024 20:32 71K
libnfc-1.8.0-r1.apk 25-Oct-2024 20:32 58K
libnfc-dev-1.8.0-r1.apk 25-Oct-2024 20:32 8080
libnfc-doc-1.8.0-r1.apk 25-Oct-2024 20:32 22K
libnfc-tools-1.8.0-r1.apk 25-Oct-2024 20:32 61K
libnih-1.0.3-r7.apk 25-Oct-2024 20:32 112K
libnih-dev-1.0.3-r7.apk 25-Oct-2024 20:32 112K
libnih-doc-1.0.3-r7.apk 25-Oct-2024 20:32 2772
libntl-11.5.1-r4.apk 25-Oct-2024 20:32 1M
libntl-dev-11.5.1-r4.apk 25-Oct-2024 20:32 159K
libntl-doc-11.5.1-r4.apk 25-Oct-2024 20:32 374K
libntl-static-11.5.1-r4.apk 25-Oct-2024 20:32 2M
libnxml-0.18.3-r0.apk 25-Oct-2024 20:32 20K
libnxml-dev-0.18.3-r0.apk 25-Oct-2024 20:32 27K
libofx-0.10.9-r1.apk 25-Oct-2024 20:32 63K
libofx-dev-0.10.9-r1.apk 25-Oct-2024 20:32 20K
libofx-tools-0.10.9-r1.apk 25-Oct-2024 20:32 106K
liboggz-1.1.1-r2.apk 25-Oct-2024 20:32 95K
liboggz-dev-1.1.1-r2.apk 25-Oct-2024 20:32 177K
liboggz-doc-1.1.1-r2.apk 25-Oct-2024 20:32 134K
libopensmtpd-0.7-r0.apk 25-Oct-2024 20:32 19K
libopensmtpd-dev-0.7-r0.apk 25-Oct-2024 20:32 3164
libopensmtpd-doc-0.7-r0.apk 25-Oct-2024 20:32 5119
libppl-1.2-r1.apk 25-Oct-2024 20:32 563K
libppl_c-1.2-r1.apk 25-Oct-2024 20:32 2M
libqofono-0.123-r1.apk 25-Oct-2024 20:32 1483
libqofono-dev-0.123-r1.apk 25-Oct-2024 20:32 47K
libqofono-qt5-0.123-r1.apk 25-Oct-2024 20:32 299K
libqofono-qt6-0.123-r1.apk 25-Oct-2024 20:32 445K
libqtdbusmock-0.9.1-r1.apk 25-Oct-2024 20:32 70K
libqtdbustest-0.3.2-r1.apk 25-Oct-2024 20:32 32K
libraqm-0.10.2-r0.apk 25-Oct-2024 20:32 12K
libraqm-dev-0.10.2-r0.apk 25-Oct-2024 20:32 4640
libraqm-doc-0.10.2-r0.apk 25-Oct-2024 20:32 21K
libreoffice-voikko-5.0_git20200127-r0.apk 25-Oct-2024 20:32 45K
libretro-atari800-0_git20240924-r0.apk 25-Oct-2024 20:32 318K
libretro-beetle-pce-fast-0_git20220205-r0.apk 25-Oct-2024 20:32 455K
libretro-beetle-pcfx-0_git20220409-r0.apk 25-Oct-2024 20:32 323K
libretro-beetle-saturn-0_git20220417-r0.apk 25-Oct-2024 20:32 2M
libretro-beetle-supergrafx-0_git20220218-r0.apk 25-Oct-2024 20:32 452K
libretro-blastem-0_git20210810-r0.apk 25-Oct-2024 20:32 248K
libretro-bluemsx-0_git20240808-r0.apk 25-Oct-2024 20:32 692K
libretro-cannonball-0_git20220309-r6.apk 25-Oct-2024 20:32 256K
libretro-cap32-0_git20220419-r0.apk 25-Oct-2024 20:32 300K
libretro-crocods-0_git20210314-r1.apk 25-Oct-2024 20:32 292K
libretro-daphne-0_git20210108-r2.apk 25-Oct-2024 20:32 753K
libretro-dinothawr-0_git20220401-r0.apk 25-Oct-2024 20:32 129K
libretro-fbneo-0_git20220416-r0.apk 25-Oct-2024 20:32 13M
libretro-freeintv-0_git20220319-r0.apk 25-Oct-2024 20:32 36K
libretro-frodo-0_git20221221-r0.apk 25-Oct-2024 20:32 174K
libretro-fuse-0_git20220417-r0.apk 25-Oct-2024 20:32 903K
libretro-genesis-plus-gx-0_git20230503-r0.apk 25-Oct-2024 20:32 1M
libretro-gme-0_git20240628-r0.apk 25-Oct-2024 20:32 202K
libretro-gong-0_git20220319-r0.apk 25-Oct-2024 20:32 8761
libretro-gw-0_git20220410-r0.apk 25-Oct-2024 20:32 185K
libretro-mame2000-0_git20240701-r0.apk 25-Oct-2024 20:32 4M
libretro-mame2003-0_git20240904-r0.apk 25-Oct-2024 20:32 9M
libretro-mu-0_git20220317-r0.apk 25-Oct-2024 20:32 188K
libretro-neocd-0_git20220325-r1.apk 25-Oct-2024 20:32 530K
libretro-nxengine-0_git20220301-r0.apk 25-Oct-2024 20:32 302K
libretro-openlara-0_git20210121-r0.apk 25-Oct-2024 20:32 536K
libretro-opera-0_git20211214-r0.apk 25-Oct-2024 20:32 175K
libretro-pcsx-rearmed-0_git20220409-r0.apk 25-Oct-2024 20:32 523K
libretro-pocketcdg-0_git20220327-r0.apk 25-Oct-2024 20:32 92K
libretro-scummvm-0_git20210325-r0.apk 25-Oct-2024 20:32 20M
libretro-snes9x-0_git20240819-r0.apk 25-Oct-2024 20:32 1M
libretro-theodore-3.1-r0.apk 25-Oct-2024 20:32 873K
libretro-tyrquake-0_git20220409-r0.apk 25-Oct-2024 20:32 396K
libretro-xrick-0_git20220331-r0.apk 25-Oct-2024 20:32 116K
libsbsms-2.3.0-r0.apk 25-Oct-2024 20:32 105K
libsbsms-dev-2.3.0-r0.apk 25-Oct-2024 20:32 125K
libsds-2.0.0-r1.apk 25-Oct-2024 20:32 10K
libsds-dev-2.0.0-r1.apk 25-Oct-2024 20:32 3869
libsemanage-3.6-r1.apk 25-Oct-2024 20:32 100K
libsemanage-dev-3.6-r1.apk 25-Oct-2024 20:32 143K
libsemanage-doc-3.6-r1.apk 25-Oct-2024 20:32 23K
libsemigroups-2.7.3-r0.apk 25-Oct-2024 20:32 829K
libsemigroups-dev-2.7.3-r0.apk 25-Oct-2024 20:32 338K
libsemigroups-static-2.7.3-r0.apk 25-Oct-2024 20:32 2M
libserialport-0.1.1-r1.apk 25-Oct-2024 20:32 20K
libserialport-dev-0.1.1-r1.apk 25-Oct-2024 20:32 35K
libshadowsocks-libev-3.3.5-r4.apk 25-Oct-2024 20:32 51K
libsigrok-0.5.2-r3.apk 25-Oct-2024 20:32 523K
libsigrok-dev-0.5.2-r3.apk 25-Oct-2024 20:32 31K
libsigrokdecode-0.5.3-r4.apk 25-Oct-2024 20:32 336K
libsigrokdecode-dev-0.5.3-r4.apk 25-Oct-2024 20:32 39K
libsimpleble-0.6.1-r1.apk 25-Oct-2024 20:32 187K
libsimpleble-c-0.6.1-r1.apk 25-Oct-2024 20:32 16K
libsimplebluez-0.6.1-r1.apk 25-Oct-2024 20:32 132K
libsirocco-2.1.0-r2.apk 25-Oct-2024 20:32 63K
libsirocco-dev-2.1.0-r2.apk 25-Oct-2024 20:32 1941
libspatialindex-0_git20210205-r1.apk 25-Oct-2024 20:32 315K
libspatialindex-dev-0_git20210205-r1.apk 25-Oct-2024 20:32 22K
libssl1.1-1.1.1w-r1.apk 25-Oct-2024 20:32 214K
libstirshaken-0_git20240208-r2.apk 25-Oct-2024 20:32 56K
libstirshaken-dev-0_git20240208-r2.apk 25-Oct-2024 20:32 80K
libstirshaken-tools-0_git20240208-r2.apk 25-Oct-2024 20:32 166K
libsymmetrica-3.0.1-r2.apk 25-Oct-2024 20:32 5M
libsymmetrica-dev-3.0.1-r2.apk 25-Oct-2024 20:32 32K
libsymmetrica-static-3.0.1-r2.apk 25-Oct-2024 20:32 6M
libtcmu-1.6.0-r6.apk 25-Oct-2024 20:32 40K
libtcmu-dev-1.6.0-r6.apk 25-Oct-2024 20:32 1546
libtins-4.5-r1.apk 25-Oct-2024 20:32 332K
libtins-dev-4.5-r1.apk 25-Oct-2024 20:32 141K
libtins-doc-4.5-r1.apk 25-Oct-2024 20:32 2373
libtinycbor-0.6.0-r1.apk 25-Oct-2024 20:32 19K
libtommath-1.2.1-r0.apk 25-Oct-2024 20:32 45K
libtommath-dev-1.2.1-r0.apk 25-Oct-2024 20:32 68K
libtsm-4.0.2-r1.apk 25-Oct-2024 20:32 27K
libtsm-dev-4.0.2-r1.apk 25-Oct-2024 20:32 9750
libucl-0.9.0-r0.apk 25-Oct-2024 20:32 56K
libucl-dev-0.9.0-r0.apk 25-Oct-2024 20:32 83K
libucl-doc-0.9.0-r0.apk 25-Oct-2024 20:32 9075
libuecc-7-r3.apk 25-Oct-2024 20:32 10K
libuecc-dev-7-r3.apk 25-Oct-2024 20:32 4869
libuninameslist-20230916-r0.apk 25-Oct-2024 20:32 696K
libuninameslist-dev-20230916-r0.apk 25-Oct-2024 20:32 3549
libuninameslist-doc-20230916-r0.apk 25-Oct-2024 20:32 2095
libupstart-2.0.3-r5.apk 25-Oct-2024 20:32 59K
libvdpau-va-gl-0.4.2-r0.apk 25-Oct-2024 20:32 59K
libvisio2svg-0.5.5-r3.apk 25-Oct-2024 20:32 15K
libvisio2svg-dev-0.5.5-r3.apk 25-Oct-2024 20:32 2991
libvisio2svg-utils-0.5.5-r3.apk 25-Oct-2024 20:32 123K
libvmaf-3.0.0-r0.apk 25-Oct-2024 20:32 336K
libvmaf-dev-3.0.0-r0.apk 25-Oct-2024 20:32 190K
libvmime-0.9.2.175-r0.apk 25-Oct-2024 20:32 701K
libvmime-dbg-0.9.2.175-r0.apk 25-Oct-2024 20:32 13M
libvmime-dev-0.9.2.175-r0.apk 25-Oct-2024 20:32 24M
libvoikko-4.3.2-r1.apk 25-Oct-2024 20:32 131K
libvoikko-dev-4.3.2-r1.apk 25-Oct-2024 20:32 10K
libvoikko-doc-4.3.2-r1.apk 25-Oct-2024 20:32 5863
libwbxml-0.11.8-r0.apk 25-Oct-2024 20:32 78K
libwbxml-dev-0.11.8-r0.apk 25-Oct-2024 20:32 9175
libwbxml-doc-0.11.8-r0.apk 25-Oct-2024 20:32 28K
libwhich-1.2.0-r0.apk 25-Oct-2024 20:32 4658
libwmiclient-1.3.16-r5.apk 25-Oct-2024 20:32 1488
libwmiclient-dev-1.3.16-r5.apk 25-Oct-2024 20:32 1734
libxml++-5.0.3-r1.apk 25-Oct-2024 20:32 63K
libxml++-dev-5.0.3-r1.apk 25-Oct-2024 20:32 30K
libzn_poly-0.9.2-r2.apk 25-Oct-2024 20:32 61K
libzn_poly-dev-0.9.2-r2.apk 25-Oct-2024 20:32 8367
libzn_poly-static-0.9.2-r2.apk 25-Oct-2024 20:32 64K
licenseheaders-0.8.8-r4.apk 25-Oct-2024 20:32 18K
licenseheaders-pyc-0.8.8-r4.apk 25-Oct-2024 20:32 18K
limnoria-20240828-r0.apk 25-Oct-2024 20:32 1M
limnoria-doc-20240828-r0.apk 25-Oct-2024 20:32 8604
limnoria-pyc-20240828-r0.apk 25-Oct-2024 20:32 1M
linkquisition-1.6.1-r0.apk 25-Oct-2024 20:32 12M
linphone-5.3.38-r0.apk 25-Oct-2024 20:32 9M
linphone-dev-5.3.38-r0.apk 25-Oct-2024 20:32 250K
linphone-libs-5.3.38-r0.apk 25-Oct-2024 20:32 3M
linux-apfs-rw-src-0.3.8-r0.apk 25-Oct-2024 20:32 197K
linux-timemachine-1.3.2-r0.apk 25-Oct-2024 20:32 5178
linuxkit-1.5.2-r0.apk 25-Oct-2024 20:32 13M
linuxkit-doc-1.5.2-r0.apk 25-Oct-2024 20:32 10K
linuxptp-4.4-r0.apk 17-Nov-2024 17:17 1250
linuxptp-doc-4.4-r0.apk 17-Nov-2024 17:17 38K
linuxptp-hwstamp_ctl-4.4-r0.apk 17-Nov-2024 17:17 4545
linuxptp-nsm-4.4-r0.apk 17-Nov-2024 17:17 33K
linuxptp-phc2sys-4.4-r0.apk 17-Nov-2024 17:17 37K
linuxptp-phc_ctl-4.4-r0.apk 17-Nov-2024 17:17 10K
linuxptp-pmc-4.4-r0.apk 17-Nov-2024 17:17 36K
linuxptp-ptp4l-4.4-r0.apk 17-Nov-2024 17:17 78K
linuxptp-timemaster-4.4-r0.apk 17-Nov-2024 17:17 17K
linuxptp-ts2phc-4.4-r0.apk 17-Nov-2024 17:17 37K
linuxptp-tz2alt-4.4-r0.apk 17-Nov-2024 17:17 20K
liquibase-4.9.1-r0.apk 25-Oct-2024 20:32 32M
liquibase-doc-4.9.1-r0.apk 25-Oct-2024 20:32 57K
listenbrainz-mpd-2.3.8-r0.apk 25-Oct-2024 20:32 1M
listenbrainz-mpd-bash-completion-2.3.8-r0.apk 25-Oct-2024 20:32 2158
listenbrainz-mpd-doc-2.3.8-r0.apk 25-Oct-2024 20:32 14K
listenbrainz-mpd-fish-completion-2.3.8-r0.apk 25-Oct-2024 20:32 1853
listenbrainz-mpd-zsh-completion-2.3.8-r0.apk 25-Oct-2024 20:32 2104
litehtml-0.9-r0.apk 25-Oct-2024 20:32 337K
litehtml-dev-0.9-r0.apk 25-Oct-2024 20:32 44K
litehtml-static-0.9-r0.apk 25-Oct-2024 20:32 529K
litterbox-1.9-r1.apk 25-Oct-2024 20:32 36K
litterbox-doc-1.9-r1.apk 25-Oct-2024 20:32 7421
lizardfs-3.13.0-r13.apk 25-Oct-2024 20:32 149K
lizardfs-bash-completion-3.13.0-r13.apk 25-Oct-2024 20:32 1915
lizardfs-cgi-3.13.0-r13.apk 25-Oct-2024 20:32 32K
lizardfs-cgiserv-3.13.0-r13.apk 25-Oct-2024 20:32 7545
lizardfs-cgiserv-openrc-3.13.0-r13.apk 25-Oct-2024 20:32 2043
lizardfs-chunkserver-3.13.0-r13.apk 25-Oct-2024 20:32 427K
lizardfs-chunkserver-openrc-3.13.0-r13.apk 25-Oct-2024 20:32 1690
lizardfs-client-3.13.0-r13.apk 25-Oct-2024 20:32 1M
lizardfs-doc-3.13.0-r13.apk 25-Oct-2024 20:32 12K
lizardfs-master-3.13.0-r13.apk 25-Oct-2024 20:32 1M
lizardfs-master-openrc-3.13.0-r13.apk 25-Oct-2024 20:32 1669
lizardfs-metalogger-3.13.0-r13.apk 25-Oct-2024 20:32 182K
lizardfs-metalogger-openrc-3.13.0-r13.apk 25-Oct-2024 20:32 1683
llmnrd-0.7-r1.apk 25-Oct-2024 20:32 16K
llmnrd-doc-0.7-r1.apk 25-Oct-2024 20:32 3107
llmnrd-openrc-0.7-r1.apk 25-Oct-2024 20:32 1932
lockrun-1.1.3-r1.apk 25-Oct-2024 20:32 5360
log4cpp-1.1.4-r1.apk 25-Oct-2024 20:32 72K
log4cpp-dev-1.1.4-r1.apk 25-Oct-2024 20:32 39K
log4cxx-1.1.0-r1.apk 25-Oct-2024 20:32 556K
log4cxx-dev-1.1.0-r1.apk 25-Oct-2024 20:32 142K
logc-0.5.0-r0.apk 25-Oct-2024 20:32 8783
logc-argp-0.5.0-r0.apk 25-Oct-2024 20:32 17K
logc-config-0.5.0-r0.apk 25-Oct-2024 20:32 5258
logc-dev-0.5.0-r0.apk 25-Oct-2024 20:32 9109
logisim-evolution-3.8.0-r0.apk 25-Oct-2024 20:32 24M
logtop-0.7-r0.apk 25-Oct-2024 20:32 15K
logtop-doc-0.7-r0.apk 25-Oct-2024 20:32 2885
logtop-libs-0.7-r0.apk 25-Oct-2024 20:32 16K
logwatch-7.10-r1.apk 25-Oct-2024 20:32 483K
logwatch-doc-7.10-r1.apk 25-Oct-2024 20:32 38K
lol-html-1.1.1-r1.apk 25-Oct-2024 20:32 491K
lol-html-dev-1.1.1-r1.apk 25-Oct-2024 20:32 6673
lolcat-1.4-r0.apk 25-Oct-2024 20:32 9785
lomiri-action-api-1.1.3-r1.apk 25-Oct-2024 20:32 85K
lomiri-action-api-dev-1.1.3-r1.apk 25-Oct-2024 20:32 5501
lomiri-api-0.2.1-r1.apk 25-Oct-2024 20:32 35K
lomiri-api-dev-0.2.1-r1.apk 25-Oct-2024 20:32 33K
lomiri-app-launch-0.1.9-r3.apk 25-Oct-2024 20:32 347K
lomiri-app-launch-dev-0.1.9-r3.apk 25-Oct-2024 20:32 20K
lomiri-calculator-app-4.0.2-r0.apk 25-Oct-2024 20:32 375K
lomiri-calculator-app-lang-4.0.2-r0.apk 25-Oct-2024 20:32 37K
lomiri-clock-app-4.0.4-r0.apk 25-Oct-2024 20:32 230K
lomiri-clock-app-lang-4.0.4-r0.apk 25-Oct-2024 20:32 448K
lomiri-content-hub-2.0.0-r0.apk 25-Oct-2024 20:32 292K
lomiri-content-hub-dev-2.0.0-r0.apk 25-Oct-2024 20:32 11K
lomiri-content-hub-doc-2.0.0-r0.apk 25-Oct-2024 20:32 901K
lomiri-content-hub-lang-2.0.0-r0.apk 25-Oct-2024 20:32 42K
lomiri-download-manager-0.1.3-r3.apk 25-Oct-2024 20:32 627K
lomiri-download-manager-dev-0.1.3-r3.apk 25-Oct-2024 20:32 18K
lomiri-download-manager-doc-0.1.3-r3.apk 25-Oct-2024 20:32 868K
lomiri-download-manager-lang-0.1.3-r3.apk 25-Oct-2024 20:32 31K
lomiri-filemanager-app-1.0.4-r0.apk 25-Oct-2024 20:32 347K
lomiri-filemanager-app-lang-1.0.4-r0.apk 25-Oct-2024 20:32 175K
lomiri-gallery-app-3.0.2-r0.apk 25-Oct-2024 20:32 4M
lomiri-gallery-app-lang-3.0.2-r0.apk 25-Oct-2024 20:32 109K
lomiri-history-service-0.6-r1.apk 12-Nov-2024 21:13 364K
lomiri-history-service-dev-0.6-r1.apk 12-Nov-2024 21:13 11K
lomiri-indicator-location-0_git20231227-r0.apk 25-Oct-2024 20:32 30K
lomiri-indicator-location-lang-0_git20231227-r0..> 25-Oct-2024 20:32 33K
lomiri-indicator-network-1.0.2-r2.apk 25-Oct-2024 20:32 620K
lomiri-indicator-network-dev-1.0.2-r2.apk 25-Oct-2024 20:32 10K
lomiri-indicator-network-doc-1.0.2-r2.apk 25-Oct-2024 20:32 2096
lomiri-indicator-network-lang-1.0.2-r2.apk 25-Oct-2024 20:32 168K
lomiri-libusermetrics-1.3.3-r0.apk 25-Oct-2024 20:32 173K
lomiri-libusermetrics-dev-1.3.3-r0.apk 25-Oct-2024 20:32 8315
lomiri-libusermetrics-doc-1.3.3-r0.apk 25-Oct-2024 20:32 230K
lomiri-libusermetrics-lang-1.3.3-r0.apk 25-Oct-2024 20:32 47K
lomiri-location-service-3.1.0-r7.apk 25-Oct-2024 20:32 2M
lomiri-location-service-dev-3.1.0-r7.apk 25-Oct-2024 20:32 32K
lomiri-location-service-doc-3.1.0-r7.apk 25-Oct-2024 20:32 2937
lomiri-location-service-lang-3.1.0-r7.apk 25-Oct-2024 20:32 24K
lomiri-notifications-1.3.0-r1.apk 25-Oct-2024 20:32 101K
lomiri-schemas-0.1.5-r0.apk 25-Oct-2024 20:32 11K
lomiri-settings-components-1.1.1-r1.apk 25-Oct-2024 20:32 223K
lomiri-settings-components-lang-1.1.1-r1.apk 25-Oct-2024 20:32 100K
lomiri-sounds-22.02-r0.apk 25-Oct-2024 20:32 18M
lomiri-terminal-app-2.0.3-r0.apk 12-Nov-2024 17:18 65K
lomiri-terminal-app-doc-2.0.3-r0.apk 12-Nov-2024 17:18 2666
lomiri-terminal-app-lang-2.0.3-r0.apk 12-Nov-2024 17:18 62K
lomiri-thumbnailer-3.0.3-r2.apk 25-Oct-2024 20:32 228K
lomiri-thumbnailer-dev-3.0.3-r2.apk 25-Oct-2024 20:32 5270
lomiri-thumbnailer-doc-3.0.3-r2.apk 25-Oct-2024 20:32 1515
lomiri-trust-store-2.0.2-r6.apk 25-Oct-2024 20:32 1M
lomiri-trust-store-dev-2.0.2-r6.apk 25-Oct-2024 20:32 9676
lomiri-trust-store-lang-2.0.2-r6.apk 25-Oct-2024 20:32 32K
lomiri-ui-extras-0.6.3-r1.apk 25-Oct-2024 20:32 270K
lomiri-ui-extras-lang-0.6.3-r1.apk 25-Oct-2024 20:32 55K
lomiri-ui-toolkit-1.3.5100-r1.apk 25-Oct-2024 20:32 1M
lomiri-ui-toolkit-dev-1.3.5100-r1.apk 25-Oct-2024 20:32 173K
lomiri-ui-toolkit-lang-1.3.5100-r1.apk 25-Oct-2024 20:32 99K
lomiri-url-dispatcher-0.1.3-r2.apk 25-Oct-2024 20:32 40K
lomiri-url-dispatcher-dev-0.1.3-r2.apk 25-Oct-2024 20:32 3423
lomiri-url-dispatcher-lang-0.1.3-r2.apk 25-Oct-2024 20:32 21K
lomiri-weather-app-5.13.5-r1.apk 25-Oct-2024 20:32 235K
lomiri-weather-app-lang-5.13.5-r1.apk 25-Oct-2024 20:32 288K
lotide-0.15.0-r0.apk 25-Oct-2024 20:32 4M
lotide-openrc-0.15.0-r0.apk 25-Oct-2024 20:32 3195
lottieconverter-0.2_git20231219-r0.apk 25-Oct-2024 20:32 13K
lottieconverter-doc-0.2_git20231219-r0.apk 25-Oct-2024 20:32 2472
lout-3.42.2-r0.apk 25-Oct-2024 20:32 1M
lout-doc-3.42.2-r0.apk 25-Oct-2024 20:32 453K
lrcalc-2.1-r1.apk 25-Oct-2024 20:32 11K
lrcalc-dev-2.1-r1.apk 25-Oct-2024 20:32 11K
lrcalc-libs-2.1-r1.apk 25-Oct-2024 20:32 29K
lsd-1.1.5-r0.apk 25-Oct-2024 20:32 1M
lsd-bash-completion-1.1.5-r0.apk 25-Oct-2024 20:32 2580
lsd-fish-completion-1.1.5-r0.apk 25-Oct-2024 20:32 3223
lsd-zsh-completion-1.1.5-r0.apk 25-Oct-2024 20:32 3525
lsdvd-0.17-r0.apk 25-Oct-2024 20:32 13K
lsdvd-doc-0.17-r0.apk 25-Oct-2024 20:32 2523
lshell-0.9.18-r11.apk 25-Oct-2024 20:32 36K
lshell-doc-0.9.18-r11.apk 25-Oct-2024 20:32 25K
lshell-pyc-0.9.18-r11.apk 25-Oct-2024 20:32 35K
lsip6-0.2.0-r1.apk 25-Oct-2024 20:32 5621
lsip6-pyc-0.2.0-r1.apk 25-Oct-2024 20:32 5206
lsix-1.8.2-r0.apk 25-Oct-2024 20:32 6672
lsmash-2.14.5-r2.apk 25-Oct-2024 20:32 279K
lsmash-dev-2.14.5-r2.apk 25-Oct-2024 20:32 368K
lua-editorconfig-0.3.0-r0.apk 25-Oct-2024 20:32 1229
lua-fn-0.1.0-r0.apk 25-Oct-2024 20:32 3465
lua-inet-0.2.0-r1.apk 25-Oct-2024 20:32 9352
lua-lanes-3.16.0-r1.apk 25-Oct-2024 20:32 1482
lua-lcurses-9.0.0-r0.apk 25-Oct-2024 20:32 1176
lua-libmodbus-0.6.1-r0.apk 25-Oct-2024 20:32 1209
lua-libmodbus-doc-0.6.1-r0.apk 25-Oct-2024 20:32 19K
lua-linenoise-0.9-r1.apk 25-Oct-2024 20:32 1196
lua-luastatic-0.0.12-r1.apk 25-Oct-2024 20:32 1502
lua-lupa-1.0-r0.apk 25-Oct-2024 20:32 20K
lua-lut-1.2.1-r0.apk 25-Oct-2024 20:32 89K
lua-psl-0.3-r0.apk 25-Oct-2024 20:32 1129
lua-resty-redis-0.29-r0.apk 25-Oct-2024 20:32 5452
lua-resty-upload-0.11-r0.apk 25-Oct-2024 20:32 3703
lua-xml-1.1.3-r2.apk 25-Oct-2024 20:32 1466
lua5.1-lanes-3.16.0-r1.apk 25-Oct-2024 20:32 60K
lua5.1-lcurses-9.0.0-r0.apk 25-Oct-2024 20:32 28K
lua5.1-libguestfs-1.52.0-r1.apk 25-Oct-2024 20:32 99K
lua5.1-libmodbus-0.6.1-r0.apk 25-Oct-2024 20:32 11K
lua5.1-linenoise-0.9-r1.apk 25-Oct-2024 20:32 19K
lua5.1-luacov-0.15.0-r0.apk 25-Oct-2024 20:32 23K
lua5.1-luacov-html-1.0.0-r1.apk 25-Oct-2024 20:32 413K
lua5.1-luastatic-0.0.12-r1.apk 25-Oct-2024 20:32 81K
lua5.1-psl-0.3-r0.apk 25-Oct-2024 20:32 6740
lua5.1-xml-1.1.3-r2.apk 25-Oct-2024 20:32 24K
lua5.2-editorconfig-0.3.0-r0.apk 25-Oct-2024 20:32 4579
lua5.2-lanes-3.16.0-r1.apk 25-Oct-2024 20:32 59K
lua5.2-libmodbus-0.6.1-r0.apk 25-Oct-2024 20:32 11K
lua5.2-linenoise-0.9-r1.apk 25-Oct-2024 20:32 19K
lua5.2-luacov-0.15.0-r0.apk 25-Oct-2024 20:32 23K
lua5.2-luacov-html-1.0.0-r1.apk 25-Oct-2024 20:32 413K
lua5.2-luastatic-0.0.12-r1.apk 25-Oct-2024 20:32 9038
lua5.2-psl-0.3-r0.apk 25-Oct-2024 20:32 6640
lua5.2-xml-1.1.3-r2.apk 25-Oct-2024 20:32 24K
lua5.3-apk3-3.0.0_pre3_git20241029-r0.apk 30-Oct-2024 05:04 6370
lua5.3-editorconfig-0.3.0-r0.apk 25-Oct-2024 20:32 4643
lua5.3-lanes-3.16.0-r1.apk 25-Oct-2024 20:32 60K
lua5.3-linenoise-0.9-r1.apk 25-Oct-2024 20:32 19K
lua5.3-luacov-0.15.0-r0.apk 25-Oct-2024 20:32 23K
lua5.3-luacov-html-1.0.0-r1.apk 25-Oct-2024 20:32 413K
lua5.3-luastatic-0.0.12-r1.apk 25-Oct-2024 20:32 9068
lua5.3-psl-0.3-r0.apk 25-Oct-2024 20:32 6644
lua5.4-editorconfig-0.3.0-r0.apk 25-Oct-2024 20:32 4637
lua5.4-lanes-3.16.0-r1.apk 25-Oct-2024 20:32 60K
lua5.4-linenoise-0.9-r1.apk 25-Oct-2024 20:32 19K
lua5.4-luacov-0.15.0-r0.apk 25-Oct-2024 20:32 23K
lua5.4-luastatic-0.0.12-r1.apk 25-Oct-2024 20:32 9128
luacov-0.15.0-r0.apk 25-Oct-2024 20:32 1486
luacov-html-1.0.0-r1.apk 25-Oct-2024 20:32 1244
luapak-0.1.0_beta5-r0.apk 25-Oct-2024 20:32 35K
luksmeta-9-r0.apk 25-Oct-2024 20:32 13K
luksmeta-dev-9-r0.apk 25-Oct-2024 20:32 3171
luksmeta-doc-9-r0.apk 25-Oct-2024 20:32 5611
lumina-desktop-1.6.2-r0.apk 25-Oct-2024 20:32 1268
lumina-desktop-archiver-1.6.2-r0.apk 25-Oct-2024 20:32 165K
lumina-desktop-core-1.6.2-r0.apk 25-Oct-2024 20:32 9M
lumina-desktop-coreutils-1.6.2-r0.apk 25-Oct-2024 20:32 819K
lumina-desktop-doc-1.6.2-r0.apk 25-Oct-2024 20:32 12K
lumina-desktop-fileinfo-1.6.2-r0.apk 25-Oct-2024 20:32 161K
lumina-desktop-fm-1.6.2-r0.apk 25-Oct-2024 20:32 395K
lumina-desktop-mediaplayer-1.6.2-r0.apk 25-Oct-2024 20:32 200K
lumina-desktop-photo-1.6.2-r0.apk 25-Oct-2024 20:32 126K
lumina-desktop-screenshot-1.6.2-r0.apk 25-Oct-2024 20:32 169K
lumina-desktop-sudo-1.6.2-r0.apk 25-Oct-2024 20:32 96K
lumina-desktop-textedit-1.6.2-r0.apk 25-Oct-2024 20:32 194K
lutgen-0.11.2-r0.apk 25-Oct-2024 20:32 2M
lutgen-bash-completion-0.11.2-r0.apk 25-Oct-2024 20:32 1718
lutgen-doc-0.11.2-r0.apk 25-Oct-2024 20:32 4282
lutgen-fish-completion-0.11.2-r0.apk 25-Oct-2024 20:32 1767
lutgen-zsh-completion-0.11.2-r0.apk 25-Oct-2024 20:32 1687
lxappearance-0.6.3-r3.apk 25-Oct-2024 20:32 31K
lxappearance-dev-0.6.3-r3.apk 25-Oct-2024 20:32 3315
lxappearance-doc-0.6.3-r3.apk 25-Oct-2024 20:32 2673
lxappearance-lang-0.6.3-r3.apk 25-Oct-2024 20:32 80K
lxd-feature-5.20-r6.apk 25-Oct-2024 20:32 69M
lxd-feature-bash-completion-5.20-r6.apk 25-Oct-2024 20:32 5231
lxd-feature-doc-5.20-r6.apk 25-Oct-2024 20:32 1705
lxd-feature-openrc-5.20-r6.apk 25-Oct-2024 20:32 2514
lxd-feature-scripts-5.20-r6.apk 25-Oct-2024 20:32 2173
lynis-3.1.1-r0.apk 25-Oct-2024 20:32 271K
lynis-bash-completion-3.1.1-r0.apk 25-Oct-2024 20:32 3048
lynis-doc-3.1.1-r0.apk 25-Oct-2024 20:32 49K
lyrebird-0.2.0-r2.apk 25-Oct-2024 20:32 3M
m2r2-0.3.3-r3.apk 25-Oct-2024 20:32 13K
m2r2-pyc-0.3.3-r3.apk 25-Oct-2024 20:32 16K
ma1sd-2.5.0-r3.apk 25-Oct-2024 20:32 38M
ma1sd-openrc-2.5.0-r3.apk 25-Oct-2024 20:32 2005
maddy-0.7.1-r5.apk 25-Oct-2024 20:32 9M
maddy-doc-0.7.1-r5.apk 25-Oct-2024 20:32 2415
maddy-openrc-0.7.1-r5.apk 25-Oct-2024 20:32 2009
maddy-vim-0.7.1-r5.apk 25-Oct-2024 20:32 3741
mage-1.13.0-r18.apk 25-Oct-2024 20:32 1M
magic-wormhole-rs-0.7.3-r0.apk 25-Oct-2024 20:32 3M
maildir2rss-0.0.7-r0.apk 25-Oct-2024 20:32 3M
mailsec-check-0_git20210729-r21.apk 25-Oct-2024 20:32 2M
mailutils-3.17-r0.apk 25-Oct-2024 20:32 246K
mailutils-dev-3.17-r0.apk 25-Oct-2024 20:32 3M
mailutils-doc-3.17-r0.apk 25-Oct-2024 20:32 159K
mailutils-libs-3.17-r0.apk 25-Oct-2024 20:32 567K
mailutils-mh-3.17-r0.apk 25-Oct-2024 20:32 2M
mailutils-servers-3.17-r0.apk 25-Oct-2024 20:32 87K
makeclapman-2.4.1-r3.apk 25-Oct-2024 20:32 1M
makeclapman-doc-2.4.1-r3.apk 25-Oct-2024 20:32 4240
makedumpfile-1.7.6-r0.apk 28-Oct-2024 04:12 169K
makedumpfile-doc-1.7.6-r0.apk 28-Oct-2024 04:12 24K
makedumpfile-openrc-1.7.6-r0.apk 28-Oct-2024 04:12 2941
makeself-2.5.0-r0.apk 25-Oct-2024 20:32 13K
mangal-4.0.6-r13.apk 25-Oct-2024 20:32 10M
mangal-bash-completion-4.0.6-r13.apk 25-Oct-2024 20:32 5106
mangal-fish-completion-4.0.6-r13.apk 25-Oct-2024 20:32 4009
mangal-zsh-completion-4.0.6-r13.apk 25-Oct-2024 20:32 4096
mangr0ve-0.1.2-r0.apk 25-Oct-2024 20:32 2872
mangr0ve-doc-0.1.2-r0.apk 25-Oct-2024 20:32 14K
manifest-tool-2.1.7-r0.apk 25-Oct-2024 20:32 4M
mapnik-3.1.0-r29.apk 07-Nov-2024 23:41 11M
mapnik-dev-3.1.0-r29.apk 07-Nov-2024 23:41 454K
mapnik-doc-3.1.0-r29.apk 07-Nov-2024 23:41 135K
marxan-4.0.7-r1.apk 25-Oct-2024 20:32 581K
masky-0.2.0-r1.apk 25-Oct-2024 20:32 278K
masky-pyc-0.2.0-r1.apk 25-Oct-2024 20:32 64K
materia-dark-compact-kde-kvantum-20220823-r0.apk 25-Oct-2024 20:32 1507
materia-dark-kde-konsole-20220823-r0.apk 25-Oct-2024 20:32 1893
materia-dark-kde-kvantum-20220823-r0.apk 25-Oct-2024 20:32 30K
materia-dark-kde-plasma-20220823-r0.apk 25-Oct-2024 20:32 503K
materia-dark-kde-yakuake-20220823-r0.apk 25-Oct-2024 20:32 22K
materia-kde-20220823-r0.apk 25-Oct-2024 20:32 19K
materia-kde-konsole-20220823-r0.apk 25-Oct-2024 20:32 1875
materia-kde-kvantum-20220823-r0.apk 25-Oct-2024 20:32 30K
materia-kde-plasma-20220823-r0.apk 25-Oct-2024 20:32 2M
materia-light-compact-kde-kvantum-20220823-r0.apk 25-Oct-2024 20:32 1511
materia-light-kde-kvantum-20220823-r0.apk 25-Oct-2024 20:32 30K
materia-light-kde-plasma-20220823-r0.apk 25-Oct-2024 20:32 20K
materia-light-kde-yakuake-20220823-r0.apk 25-Oct-2024 20:32 21K
mautrix-discord-0.7.1-r0.apk 16-Nov-2024 19:20 6M
mautrix-discord-doc-0.7.1-r0.apk 16-Nov-2024 19:20 13K
mautrix-discord-openrc-0.7.1-r0.apk 16-Nov-2024 19:20 1946
maxima-5.47.0-r8.apk 25-Oct-2024 20:32 22M
maxima-bash-completion-5.47.0-r8.apk 25-Oct-2024 20:32 2447
maxima-doc-5.47.0-r8.apk 25-Oct-2024 20:32 761K
maxima-doc-extra-5.47.0-r8.apk 25-Oct-2024 20:32 10M
maxima-emacs-5.47.0-r8.apk 25-Oct-2024 20:32 111K
mcjoin-2.11-r0.apk 25-Oct-2024 20:32 23K
mcjoin-doc-2.11-r0.apk 25-Oct-2024 20:32 54K
mcman-0.4.5-r0.apk 25-Oct-2024 20:32 3M
mcman-doc-0.4.5-r0.apk 25-Oct-2024 20:32 14K
mcqd-1.0.0-r1.apk 25-Oct-2024 20:32 15K
mcqd-dev-1.0.0-r1.apk 25-Oct-2024 20:32 4149
md5ha1-0_git20171202-r1.apk 25-Oct-2024 20:32 9186
mdbook-katex-0.8.1-r0.apk 25-Oct-2024 20:32 692K
mdbook-mermaid-0.13.0-r0.apk 25-Oct-2024 20:32 2M
mdbook-plantuml-0.8.0-r0.apk 25-Oct-2024 20:32 1M
mdcat-2.5.0-r0.apk 25-Oct-2024 20:32 4M
mdcat-bash-completion-2.5.0-r0.apk 25-Oct-2024 20:32 2251
mdcat-doc-2.5.0-r0.apk 25-Oct-2024 20:32 6324
mdcat-fish-completion-2.5.0-r0.apk 25-Oct-2024 20:32 2193
mdcat-zsh-completion-2.5.0-r0.apk 25-Oct-2024 20:32 2502
mdnsd-0.12-r1.apk 25-Oct-2024 20:32 24K
mdnsd-doc-0.12-r1.apk 25-Oct-2024 20:32 14K
mdnsd-libs-0.12-r1.apk 25-Oct-2024 20:32 19K
mdnsd-openrc-0.12-r1.apk 25-Oct-2024 20:32 2182
mdnsd-static-0.12-r1.apk 25-Oct-2024 20:32 19K
mdp-1.0.15-r1.apk 25-Oct-2024 20:32 17K
mdp-doc-1.0.15-r1.apk 25-Oct-2024 20:32 3797
mediascanner2-0.115-r0.apk 25-Oct-2024 20:32 288K
mediastreamer2-5.3.41-r0.apk 25-Oct-2024 20:32 391K
mediastreamer2-dev-5.3.41-r0.apk 25-Oct-2024 20:32 112K
mediastreamer2-doc-5.3.41-r0.apk 25-Oct-2024 20:32 109K
mediastreamer2-plugin-openh264-5.2.0_git2023102..> 25-Oct-2024 20:32 13K
mediastreamer2-plugin-x264-20200722-r6.apk 25-Oct-2024 20:32 8316
meep-1.29.0-r0.apk 25-Oct-2024 20:32 657K
meep-dev-1.29.0-r0.apk 25-Oct-2024 20:32 514K
megatools-1.11.1.20241028-r0.apk 29-Oct-2024 19:34 65K
megatools-bash-completion-1.11.1.20241028-r0.apk 29-Oct-2024 19:34 4135
megatools-doc-1.11.1.20241028-r0.apk 29-Oct-2024 19:34 52K
megazeux-2.93b-r0.apk 25-Oct-2024 20:32 1M
megazeux-doc-2.93b-r0.apk 25-Oct-2024 20:32 454K
memdump-1.01-r1.apk 25-Oct-2024 20:32 5835
memdump-doc-1.01-r1.apk 25-Oct-2024 20:32 3189
menumaker-0.99.14-r1.apk 25-Oct-2024 20:32 111K
mergerfs-2.40.2-r1.apk 25-Oct-2024 20:32 306K
mergerfs-doc-2.40.2-r1.apk 25-Oct-2024 20:32 42K
mergiraf-0.3.1-r0.apk 13-Nov-2024 19:22 2M
mergiraf-doc-0.3.1-r0.apk 13-Nov-2024 19:22 28K
merlin-4.14-r0.apk 25-Oct-2024 20:32 15M
merlin-dev-4.14-r0.apk 25-Oct-2024 20:32 22M
merlin-emacs-4.14-r0.apk 25-Oct-2024 20:32 29K
merlin-vim-4.14-r0.apk 25-Oct-2024 20:32 28K
meson-tools-0.1-r1.apk 25-Oct-2024 20:32 8685
meson-tools-doc-0.1-r1.apk 25-Oct-2024 20:32 8578
mesonlsp-4.3.7-r0.apk 25-Oct-2024 20:32 2M
metalang99-1.13.3-r0.apk 25-Oct-2024 20:32 54K
milkytracker-1.04.00-r2.apk 25-Oct-2024 20:32 1M
milkytracker-doc-1.04.00-r2.apk 25-Oct-2024 20:32 50K
mimalloc1-1.8.6-r0.apk 25-Oct-2024 20:32 75K
mimalloc1-debug-1.8.6-r0.apk 25-Oct-2024 20:32 185K
mimalloc1-dev-1.8.6-r0.apk 25-Oct-2024 20:32 455K
mimalloc1-insecure-1.8.6-r0.apk 25-Oct-2024 20:32 68K
mimedefang-3.5-r0.apk 25-Oct-2024 20:32 158K
mimedefang-doc-3.5-r0.apk 25-Oct-2024 20:32 81K
mimeo-2023-r2.apk 25-Oct-2024 20:32 28K
mimeo-pyc-2023-r2.apk 25-Oct-2024 20:32 42K
minidyndns-1.3.0-r3.apk 25-Oct-2024 20:32 12K
minidyndns-doc-1.3.0-r3.apk 25-Oct-2024 20:32 5227
minidyndns-openrc-1.3.0-r3.apk 25-Oct-2024 20:32 1887
minijinja-cli-2.4.0-r1.apk 28-Oct-2024 00:32 2M
minijinja-cli-bash-completion-2.4.0-r1.apk 28-Oct-2024 00:32 2420
minijinja-cli-doc-2.4.0-r1.apk 28-Oct-2024 00:32 6215
minijinja-cli-fish-completion-2.4.0-r1.apk 28-Oct-2024 00:32 2502
minijinja-cli-zsh-completion-2.4.0-r1.apk 28-Oct-2024 00:32 2873
minimodem-0.24-r1.apk 25-Oct-2024 20:32 21K
minimodem-doc-0.24-r1.apk 25-Oct-2024 20:32 5324
minisatip-1.3.4-r0.apk 25-Oct-2024 20:32 322K
minisatip-openrc-1.3.4-r0.apk 25-Oct-2024 20:32 1945
mint-themes-2.1.1-r0.apk 25-Oct-2024 20:32 2247
mint-themes-doc-2.1.1-r0.apk 25-Oct-2024 20:32 13K
mint-x-icons-1.7.1-r0.apk 12-Nov-2024 11:02 22M
mint-x-icons-doc-1.7.1-r0.apk 12-Nov-2024 11:02 7535
mint-x-theme-2.1.1-r0.apk 25-Oct-2024 20:32 2383
mint-x-theme-gtk2-2.1.1-r0.apk 25-Oct-2024 20:32 490K
mint-x-theme-gtk3-2.1.1-r0.apk 25-Oct-2024 20:32 603K
mint-x-theme-gtk4-2.1.1-r0.apk 25-Oct-2024 20:32 510K
mint-x-theme-metacity-2.1.1-r0.apk 25-Oct-2024 20:32 6201
mint-x-theme-xfwm4-2.1.1-r0.apk 25-Oct-2024 20:32 35K
mint-y-icons-1.7.7-r0.apk 12-Nov-2024 11:02 72M
mint-y-icons-doc-1.7.7-r0.apk 12-Nov-2024 11:02 11K
mint-y-theme-2.1.1-r0.apk 25-Oct-2024 20:32 4233
mint-y-theme-gtk2-2.1.1-r0.apk 25-Oct-2024 20:32 656K
mint-y-theme-gtk3-2.1.1-r0.apk 25-Oct-2024 20:32 2M
mint-y-theme-gtk4-2.1.1-r0.apk 25-Oct-2024 20:32 2M
mint-y-theme-metacity-2.1.1-r0.apk 25-Oct-2024 20:32 58K
mint-y-theme-xfwm4-2.1.1-r0.apk 25-Oct-2024 20:32 207K
mir-2.15.0-r4.apk 25-Oct-2024 20:32 2M
mir-demos-2.15.0-r4.apk 25-Oct-2024 20:32 127K
mir-dev-2.15.0-r4.apk 25-Oct-2024 20:32 5M
mir-test-tools-2.15.0-r4.apk 25-Oct-2024 20:32 222K
mjpg-streamer-0_git20210220-r1.apk 25-Oct-2024 20:32 186K
mkcert-1.4.4-r14.apk 25-Oct-2024 20:32 2M
mkdocs-bootstrap-1.1.1-r2.apk 25-Oct-2024 20:32 29K
mkdocs-bootstrap-pyc-1.1.1-r2.apk 25-Oct-2024 20:32 1854
mkdocs-bootstrap386-0.0.2-r5.apk 25-Oct-2024 20:32 791K
mkdocs-bootstrap386-pyc-0.0.2-r5.apk 25-Oct-2024 20:32 1860
mkdocs-bootstrap4-0.1.5-r5.apk 25-Oct-2024 20:32 260K
mkdocs-bootstrap4-pyc-0.1.5-r5.apk 25-Oct-2024 20:32 1855
mkdocs-bootswatch-1.1-r5.apk 25-Oct-2024 20:32 538K
mkdocs-bootswatch-pyc-1.1-r5.apk 25-Oct-2024 20:32 4831
mkdocs-cinder-1.2.0-r5.apk 25-Oct-2024 20:32 249K
mkdocs-cinder-pyc-1.2.0-r5.apk 25-Oct-2024 20:32 1841
mkdocs-cluster-0.0.9-r5.apk 25-Oct-2024 20:32 651K
mkdocs-cluster-pyc-0.0.9-r5.apk 25-Oct-2024 20:32 1858
mkdocs-gitbook-0.0.1-r5.apk 25-Oct-2024 20:32 644K
mkdocs-gitbook-pyc-0.0.1-r5.apk 25-Oct-2024 20:32 1860
mkdocs-ivory-0.4.6-r5.apk 25-Oct-2024 20:32 11K
mkdocs-ivory-pyc-0.4.6-r5.apk 25-Oct-2024 20:32 1843
mkdocs-rtd-dropdown-1.0.2-r5.apk 25-Oct-2024 20:32 248K
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk 25-Oct-2024 20:32 1854
mkdocs-windmill-1.0.5-r4.apk 25-Oct-2024 20:32 944K
mkdocs-windmill-pyc-1.0.5-r4.apk 25-Oct-2024 20:32 1852
mkg3a-0.5.0-r1.apk 25-Oct-2024 20:32 14K
mkg3a-doc-0.5.0-r1.apk 25-Oct-2024 20:32 3132
mkosi-24.3-r0.apk 25-Oct-2024 20:32 212K
mkosi-pyc-24.3-r0.apk 25-Oct-2024 20:32 336K
mktorrent-borg-0.9.9-r1.apk 25-Oct-2024 20:32 9990
mktorrent-borg-doc-0.9.9-r1.apk 25-Oct-2024 20:32 2547
mlxl-0.1-r0.apk 25-Oct-2024 20:32 6029
mm-1.4.2-r1.apk 25-Oct-2024 20:32 8789
mm-common-1.0.5-r0.apk 25-Oct-2024 20:32 473K
mm-common-doc-1.0.5-r0.apk 25-Oct-2024 20:32 32K
mm-dev-1.4.2-r1.apk 25-Oct-2024 20:32 12K
mm-doc-1.4.2-r1.apk 25-Oct-2024 20:32 14K
mmtc-0.3.2-r0.apk 25-Oct-2024 20:32 589K
mnamer-2.5.5-r1.apk 25-Oct-2024 20:32 32K
mnamer-pyc-2.5.5-r1.apk 25-Oct-2024 20:32 60K
mobpass-0.2-r6.apk 25-Oct-2024 20:32 18K
mobpass-pyc-0.2-r6.apk 25-Oct-2024 20:32 5367
mobroute-0.8.4-r0.apk 19-Nov-2024 00:48 4M
mobroute-doc-0.8.4-r0.apk 19-Nov-2024 00:48 18K
moccasin-0.1.3-r0.apk 25-Oct-2024 20:32 2M
moccasin-doc-0.1.3-r0.apk 25-Oct-2024 20:32 5479
mod_dnssd-0.6-r0.apk 25-Oct-2024 20:32 9190
modem-manager-gui-0.0.20-r0.apk 25-Oct-2024 20:32 344K
modem-manager-gui-doc-0.0.20-r0.apk 25-Oct-2024 20:32 4M
modem-manager-gui-lang-0.0.20-r0.apk 25-Oct-2024 20:32 129K
moe-1.14-r0.apk 25-Oct-2024 20:32 113K
moe-doc-1.14-r0.apk 25-Oct-2024 20:32 19K
moka-icon-theme-5.4.0-r2.apk 25-Oct-2024 20:32 114M
monetdb-11.33.11-r4.apk 25-Oct-2024 20:32 3M
monetdb-dev-11.33.11-r4.apk 25-Oct-2024 20:32 77K
monetdb-doc-11.33.11-r4.apk 25-Oct-2024 20:32 321K
mongo-cxx-driver-3.8.0-r0.apk 25-Oct-2024 20:32 186K
mongo-cxx-driver-dev-3.8.0-r0.apk 25-Oct-2024 20:32 89K
moon-buggy-1.0.51-r1.apk 25-Oct-2024 20:32 39K
moon-buggy-doc-1.0.51-r1.apk 25-Oct-2024 20:32 7303
moosefs-3.0.117-r2.apk 25-Oct-2024 20:32 215K
moosefs-cgi-3.0.117-r2.apk 25-Oct-2024 20:32 63K
moosefs-cgiserv-3.0.117-r2.apk 25-Oct-2024 20:32 7921
moosefs-cgiserv-openrc-3.0.117-r2.apk 25-Oct-2024 20:32 1983
moosefs-chunkserver-3.0.117-r2.apk 25-Oct-2024 20:32 165K
moosefs-chunkserver-openrc-3.0.117-r2.apk 25-Oct-2024 20:32 1651
moosefs-client-3.0.117-r2.apk 25-Oct-2024 20:32 308K
moosefs-doc-3.0.117-r2.apk 25-Oct-2024 20:32 64K
moosefs-master-3.0.117-r2.apk 25-Oct-2024 20:32 293K
moosefs-master-openrc-3.0.117-r2.apk 25-Oct-2024 20:32 1645
moosefs-metalogger-3.0.117-r2.apk 25-Oct-2024 20:32 34K
moosefs-metalogger-openrc-3.0.117-r2.apk 25-Oct-2024 20:32 1650
moosefs-static-3.0.117-r2.apk 25-Oct-2024 20:32 610K
motion-4.7.0-r0.apk 25-Oct-2024 20:32 147K
motion-doc-4.7.0-r0.apk 25-Oct-2024 20:32 140K
motion-lang-4.7.0-r0.apk 25-Oct-2024 20:32 471K
motion-openrc-4.7.0-r0.apk 25-Oct-2024 20:32 2304
mp3gain-1.6.2-r2.apk 25-Oct-2024 20:32 32K
mp3val-0.1.8-r1.apk 25-Oct-2024 20:32 13K
mpdcron-0.3-r1.apk 25-Oct-2024 20:32 98K
mpdcron-dev-0.3-r1.apk 25-Oct-2024 20:32 55K
mpdcron-doc-0.3-r1.apk 25-Oct-2024 20:32 13K
mpdcron-zsh-completion-0.3-r1.apk 25-Oct-2024 20:32 2952
mpdris2-0.9.1-r3.apk 25-Oct-2024 20:32 15K
mpdris2-doc-0.9.1-r3.apk 25-Oct-2024 20:32 15K
mpdris2-lang-0.9.1-r3.apk 25-Oct-2024 20:32 2390
mpdris2-rs-0.2.3-r0.apk 25-Oct-2024 20:32 887K
mpdris2-rs-doc-0.2.3-r0.apk 25-Oct-2024 20:32 14K
mpop-1.4.20-r1.apk 25-Oct-2024 20:32 71K
mpop-doc-1.4.20-r1.apk 25-Oct-2024 20:32 33K
mpop-lang-1.4.20-r1.apk 25-Oct-2024 20:32 130K
mpop-vim-1.4.20-r1.apk 25-Oct-2024 20:32 2742
mpv-sponsorblock-2.1.0-r0.apk 25-Oct-2024 20:32 2M
mpvpaper-1.7-r0.apk 25-Oct-2024 20:32 32K
mpvpaper-doc-1.7-r0.apk 25-Oct-2024 20:32 3824
mqtt2prometheus-0.1.7-r11.apk 25-Oct-2024 20:32 4M
mrsh-0_git20210518-r1.apk 25-Oct-2024 20:32 5725
mrsh-dbg-0_git20210518-r1.apk 25-Oct-2024 20:32 208K
mrsh-dev-0_git20210518-r1.apk 25-Oct-2024 20:32 10K
mrsh-libs-0_git20210518-r1.apk 25-Oct-2024 20:32 62K
msgpuck-2.0-r1.apk 25-Oct-2024 20:32 1202
msgpuck-dev-2.0-r1.apk 25-Oct-2024 20:32 23K
msgpuck-doc-2.0-r1.apk 25-Oct-2024 20:32 7491
msh-2.5.0-r7.apk 25-Oct-2024 20:32 3M
msh-openrc-2.5.0-r7.apk 25-Oct-2024 20:32 2067
mspdebug-0.25-r1.apk 25-Oct-2024 20:32 207K
mspdebug-doc-0.25-r1.apk 25-Oct-2024 20:32 14K
mtg-2.1.7-r16.apk 25-Oct-2024 20:32 4M
mtg-openrc-2.1.7-r16.apk 25-Oct-2024 20:32 1934
mtree-portable-0_git20220519-r0.apk 25-Oct-2024 20:32 26K
mtree-portable-doc-0_git20220519-r0.apk 25-Oct-2024 20:32 12K
muon-0.3.0-r0.apk 25-Oct-2024 20:32 273K
muon-doc-0.3.0-r0.apk 25-Oct-2024 20:32 73K
muse-4.2.1-r1.apk 25-Oct-2024 20:32 6M
muse-doc-4.2.1-r1.apk 25-Oct-2024 20:32 4M
musikcube-3.0.4-r0.apk 25-Oct-2024 20:32 2M
musikcube-dev-3.0.4-r0.apk 25-Oct-2024 20:32 21K
musikcube-plugin-all-3.0.4-r0.apk 25-Oct-2024 20:32 1544
musikcube-plugin-httpdatastream-3.0.4-r0.apk 25-Oct-2024 20:32 83K
musikcube-plugin-mpris-3.0.4-r0.apk 25-Oct-2024 20:32 22K
musikcube-plugin-openmpt-3.0.4-r0.apk 25-Oct-2024 20:32 31K
musikcube-plugin-server-3.0.4-r0.apk 25-Oct-2024 20:32 386K
musikcube-plugin-stockencoders-3.0.4-r0.apk 25-Oct-2024 20:32 20K
musikcube-plugin-supereqdsp-3.0.4-r0.apk 25-Oct-2024 20:32 31K
musikcube-plugin-taglibreader-3.0.4-r0.apk 25-Oct-2024 20:32 36K
mxclient-0_git20211002-r1.apk 25-Oct-2024 20:32 76K
n30f-2.0-r3.apk 25-Oct-2024 20:32 7302
nano-hare-0_git20231021-r0.apk 25-Oct-2024 20:32 2232
nauty-2.8.9-r0.apk 25-Oct-2024 20:32 7M
nauty-dev-2.8.9-r0.apk 25-Oct-2024 20:32 4M
nauty-libs-2.8.9-r0.apk 25-Oct-2024 20:32 3M
nb-7.12.1-r0.apk 25-Oct-2024 20:32 149K
nb-bash-completion-7.12.1-r0.apk 25-Oct-2024 20:32 3073
nb-doc-7.12.1-r0.apk 25-Oct-2024 20:32 76K
nb-fish-completion-7.12.1-r0.apk 25-Oct-2024 20:32 2879
nb-full-7.12.1-r0.apk 25-Oct-2024 20:32 1522
nb-zsh-completion-7.12.1-r0.apk 25-Oct-2024 20:32 3031
nbsdgames-5-r0.apk 25-Oct-2024 20:32 110K
nbsdgames-doc-5-r0.apk 25-Oct-2024 20:32 9621
neard-0.19-r0.apk 25-Oct-2024 20:32 141K
neard-dev-0.19-r0.apk 25-Oct-2024 20:32 11K
neard-doc-0.19-r0.apk 25-Oct-2024 20:32 5743
neard-openrc-0.19-r0.apk 25-Oct-2024 20:32 1751
neko-2.3.0-r0.apk 20-Nov-2024 22:25 461K
neko-dev-2.3.0-r0.apk 20-Nov-2024 22:25 10K
neko-doc-2.3.0-r0.apk 20-Nov-2024 22:25 20K
neofetch-7.1.0-r2.apk 06-Nov-2024 21:47 86K
neofetch-doc-7.1.0-r2.apk 06-Nov-2024 21:47 6329
netdiscover-0.10-r0.apk 25-Oct-2024 20:32 823K
netdiscover-doc-0.10-r0.apk 25-Oct-2024 20:32 22K
netsed-1.3-r3.apk 25-Oct-2024 20:32 10K
netsurf-3.11-r0.apk 25-Oct-2024 20:32 2M
netsurf-doc-3.11-r0.apk 25-Oct-2024 20:32 4485
netsurf-framebuffer-3.11-r0.apk 25-Oct-2024 20:32 3M
netsurf-framebuffer-doc-3.11-r0.apk 25-Oct-2024 20:32 3990
newsyslog-1.2.0.91-r1.apk 25-Oct-2024 20:32 18K
newsyslog-doc-1.2.0.91-r1.apk 25-Oct-2024 20:32 24K
nextpnr-0.7-r0.apk 25-Oct-2024 20:32 1482
nextpnr-ecp5-0.7-r0.apk 25-Oct-2024 20:32 28M
nextpnr-generic-0.7-r0.apk 25-Oct-2024 20:32 783K
nextpnr-gowin-0.7-r0.apk 25-Oct-2024 20:32 2M
nextpnr-ice40-0.7-r0.apk 25-Oct-2024 20:33 74M
nfoview-2.0.1-r0.apk 25-Oct-2024 20:33 39K
nfoview-doc-2.0.1-r0.apk 25-Oct-2024 20:33 8205
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r..> 25-Oct-2024 20:33 713K
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.40..> 25-Oct-2024 20:33 21K
ngs-0.2.14-r0.apk 25-Oct-2024 20:33 295K
ngs-aws-0.2.14-r0.apk 25-Oct-2024 20:33 33K
ngs-vim-0.2.14-r0.apk 25-Oct-2024 20:33 5013
nitro-2.7_beta8-r2.apk 25-Oct-2024 20:33 593K
nitro-dev-2.7_beta8-r2.apk 25-Oct-2024 20:33 190K
nkk-0_git20221010-r0.apk 25-Oct-2024 20:33 15K
nkk-dev-0_git20221010-r0.apk 25-Oct-2024 20:33 2969
nkk-doc-0_git20221010-r0.apk 25-Oct-2024 20:33 7139
nlopt-2.8.0-r0.apk 25-Oct-2024 20:33 195K
nlopt-dev-2.8.0-r0.apk 25-Oct-2024 20:33 12K
nlopt-doc-2.8.0-r0.apk 25-Oct-2024 20:33 23K
nlopt-guile-2.8.0-r0.apk 25-Oct-2024 20:33 41K
nm-tray-0.5.0-r0.apk 25-Oct-2024 20:33 103K
nm-tray-lang-0.5.0-r0.apk 25-Oct-2024 20:33 27K
nmap-parse-output-1.5.1-r0.apk 25-Oct-2024 20:33 21K
nmap-parse-output-bash-completion-1.5.1-r0.apk 25-Oct-2024 20:33 1778
nmap-parse-output-doc-1.5.1-r0.apk 25-Oct-2024 20:33 807K
nmon-16q-r0.apk 25-Oct-2024 20:33 88K
noblenote-1.2.1-r1.apk 25-Oct-2024 20:33 406K
node-libpg-query-13.1.2-r5.apk 25-Oct-2024 20:33 19K
noggin-model-0.1-r0.apk 25-Oct-2024 20:33 12M
noggin-model-lightweight-0.1-r0.apk 25-Oct-2024 20:33 2M
noice-0.8-r1.apk 25-Oct-2024 20:33 10K
noice-doc-0.8-r1.apk 25-Oct-2024 20:33 3442
nom-2.6.1-r0.apk 25-Oct-2024 20:33 7M
notification-daemon-3.20.0-r0.apk 25-Oct-2024 20:33 64K
nsnake-3.0.0-r0.apk 25-Oct-2024 20:33 9450
nsnake-doc-3.0.0-r0.apk 25-Oct-2024 20:33 2690
nsq-1.3.0-r5.apk 25-Oct-2024 20:33 23M
ntpd-rs-1.3.0-r0.apk 25-Oct-2024 20:33 3M
ntpd-rs-doc-1.3.0-r0.apk 25-Oct-2024 20:33 23K
ntpd-rs-openrc-1.3.0-r0.apk 25-Oct-2024 20:33 1972
nuklear-4.12.0-r0.apk 25-Oct-2024 20:33 220K
nuklear-doc-4.12.0-r0.apk 25-Oct-2024 20:33 42K
nullmailer-2.2-r4.apk 25-Oct-2024 20:33 131K
nullmailer-doc-2.2-r4.apk 25-Oct-2024 20:33 10K
nullmailer-openrc-2.2-r4.apk 25-Oct-2024 20:33 1635
nuzzle-1.5-r0.apk 25-Oct-2024 20:33 12K
nuzzle-doc-1.5-r0.apk 25-Oct-2024 20:33 3400
nvim-cmp-0.0.0_git20221011-r1.apk 25-Oct-2024 20:33 55K
nvim-cmp-buffer-0.0.0_git20220810-r1.apk 25-Oct-2024 20:33 7996
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk 25-Oct-2024 20:33 4253
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk 25-Oct-2024 20:33 3393
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk 25-Oct-2024 20:33 1830
nvim-cmp-doc-0.0.0_git20221011-r1.apk 25-Oct-2024 20:33 10K
nvim-cmp-lsp-0.0.0_git20220516-r1.apk 25-Oct-2024 20:33 3565
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk 25-Oct-2024 20:33 2668
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk 25-Oct-2024 20:33 3608
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk 25-Oct-2024 20:33 2087
nvim-cmp-path-0.0.0_git20221002-r1.apk 25-Oct-2024 20:33 3858
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk 25-Oct-2024 20:33 2020
nvim-gruvbox-0.0.0_git20221212-r1.apk 25-Oct-2024 20:33 10K
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk 25-Oct-2024 20:33 2863
nvim-packer-0.0.0_git20220910-r1.apk 25-Oct-2024 20:33 46K
nvim-packer-doc-0.0.0_git20220910-r1.apk 25-Oct-2024 20:33 21K
nvim-treesitter-0.9.3-r0.apk 04-Nov-2024 03:05 388K
nvim-treesitter-doc-0.9.3-r0.apk 04-Nov-2024 03:05 30K
nvim-web-devicons-0.100_git20241011-r0.apk 11-Nov-2024 03:58 27K
nvim-web-devicons-doc-0.100_git20241011-r0.apk 11-Nov-2024 03:58 7287
nvimpager-0.12.0-r0.apk 25-Oct-2024 20:33 13K
nvimpager-doc-0.12.0-r0.apk 25-Oct-2024 20:33 4534
nvimpager-zsh-completion-0.12.0-r0.apk 25-Oct-2024 20:33 1873
nwg-bar-0.1.6-r5.apk 25-Oct-2024 20:33 2M
nwg-displays-0.3.13-r1.apk 25-Oct-2024 20:33 24K
nwg-displays-pyc-0.3.13-r1.apk 25-Oct-2024 20:33 35K
nwg-dock-0.3.9-r6.apk 25-Oct-2024 20:33 2M
nwg-panel-0.9.50-r0.apk 05-Nov-2024 13:29 269K
nwg-panel-doc-0.9.50-r0.apk 05-Nov-2024 13:29 4153
nwg-panel-pyc-0.9.50-r0.apk 05-Nov-2024 13:29 244K
nymphcast-mediaserver-0.1-r3.apk 25-Oct-2024 20:33 132K
nymphcast-mediaserver-nftables-0.1-r3.apk 25-Oct-2024 20:33 1725
nzbget-24.3-r0.apk 25-Oct-2024 20:33 5M
nzbget-openrc-24.3-r0.apk 25-Oct-2024 20:33 2156
oauth2-proxy-7.6.0-r6.apk 25-Oct-2024 20:33 8M
oauth2-proxy-openrc-7.6.0-r6.apk 25-Oct-2024 20:33 2197
objconv-2.52_git20210213-r2.apk 25-Oct-2024 20:33 243K
ocaml-alcotest-1.5.0-r4.apk 25-Oct-2024 20:33 474K
ocaml-alcotest-dev-1.5.0-r4.apk 25-Oct-2024 20:33 813K
ocaml-amqp-client-2.3.0-r0.apk 25-Oct-2024 20:33 619K
ocaml-amqp-client-dev-2.3.0-r0.apk 25-Oct-2024 20:33 1M
ocaml-angstrom-0.16.0-r0.apk 25-Oct-2024 20:33 180K
ocaml-angstrom-dev-0.16.0-r0.apk 25-Oct-2024 20:33 335K
ocaml-arp-3.0.0-r3.apk 25-Oct-2024 20:33 88K
ocaml-arp-dev-3.0.0-r3.apk 25-Oct-2024 20:33 158K
ocaml-asn1-combinators-0.2.6-r2.apk 25-Oct-2024 20:33 324K
ocaml-asn1-combinators-dev-0.2.6-r2.apk 25-Oct-2024 20:33 565K
ocaml-astring-0.8.5-r2.apk 25-Oct-2024 20:33 292K
ocaml-astring-dev-0.8.5-r2.apk 25-Oct-2024 20:33 173K
ocaml-atd-2.15.0-r0.apk 25-Oct-2024 20:33 8M
ocaml-atd-dev-2.15.0-r0.apk 25-Oct-2024 20:33 2M
ocaml-base-0.16.3-r0.apk 25-Oct-2024 20:33 5M
ocaml-base-dev-0.16.3-r0.apk 25-Oct-2024 20:33 10M
ocaml-base64-3.5.0-r2.apk 25-Oct-2024 20:33 92K
ocaml-base64-dev-3.5.0-r2.apk 25-Oct-2024 20:33 174K
ocaml-bigarray-compat-1.1.0-r2.apk 25-Oct-2024 20:33 12K
ocaml-bigarray-compat-dev-1.1.0-r2.apk 25-Oct-2024 20:33 12K
ocaml-bigstringaf-0.9.0-r2.apk 25-Oct-2024 20:33 49K
ocaml-bigstringaf-dev-0.9.0-r2.apk 25-Oct-2024 20:33 91K
ocaml-biniou-1.2.1-r5.apk 25-Oct-2024 20:33 643K
ocaml-biniou-dev-1.2.1-r5.apk 25-Oct-2024 20:33 361K
ocaml-bisect_ppx-2.8.3-r0.apk 25-Oct-2024 20:33 6M
ocaml-bisect_ppx-dev-2.8.3-r0.apk 25-Oct-2024 20:33 545K
ocaml-bitstring-4.1.0-r3.apk 25-Oct-2024 20:33 5M
ocaml-bitstring-dev-4.1.0-r3.apk 25-Oct-2024 20:33 574K
ocaml-bos-0.2.1-r2.apk 25-Oct-2024 20:33 478K
ocaml-bos-dev-0.2.1-r2.apk 25-Oct-2024 20:33 336K
ocaml-ca-certs-0.2.2-r2.apk 25-Oct-2024 20:33 30K
ocaml-ca-certs-dev-0.2.2-r2.apk 25-Oct-2024 20:33 39K
ocaml-ca-certs-nss-3.89.1-r1.apk 25-Oct-2024 20:33 395K
ocaml-ca-certs-nss-dev-3.89.1-r1.apk 25-Oct-2024 20:33 447K
ocaml-ca-certs-nss-tools-3.89.1-r1.apk 25-Oct-2024 20:33 2M
ocaml-cairo2-0.6.2-r2.apk 25-Oct-2024 20:33 175K
ocaml-cairo2-dev-0.6.2-r2.apk 25-Oct-2024 20:33 442K
ocaml-calendar-2.04-r4.apk 25-Oct-2024 20:33 227K
ocaml-calendar-dev-2.04-r4.apk 25-Oct-2024 20:33 159K
ocaml-calendar-doc-2.04-r4.apk 25-Oct-2024 20:33 12K
ocaml-camlzip-1.11-r2.apk 25-Oct-2024 20:33 118K
ocaml-camlzip-dev-1.11-r2.apk 25-Oct-2024 20:33 218K
ocaml-camomile-1.0.2-r3.apk 25-Oct-2024 20:33 1M
ocaml-camomile-data-1.0.2-r3.apk 25-Oct-2024 20:33 5M
ocaml-camomile-dev-1.0.2-r3.apk 25-Oct-2024 20:33 2M
ocaml-charinfo_width-1.1.0-r3.apk 25-Oct-2024 20:33 108K
ocaml-charinfo_width-dev-1.1.0-r3.apk 25-Oct-2024 20:33 186K
ocaml-cmdliner-1.1.1-r3.apk 25-Oct-2024 20:33 485K
ocaml-cmdliner-dev-1.1.1-r3.apk 25-Oct-2024 20:33 228K
ocaml-cmdliner-doc-1.1.1-r3.apk 25-Oct-2024 20:33 20K
ocaml-cohttp-5.3.1-r0.apk 25-Oct-2024 20:33 690K
ocaml-cohttp-dev-5.3.1-r0.apk 25-Oct-2024 20:33 1M
ocaml-cohttp-tools-5.3.1-r0.apk 25-Oct-2024 20:33 9M
ocaml-compiler-libs-repackaged-0.12.4-r3.apk 25-Oct-2024 20:33 76K
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk 25-Oct-2024 20:33 103K
ocaml-conduit-6.1.0-r0.apk 25-Oct-2024 20:33 298K
ocaml-conduit-dev-6.1.0-r0.apk 25-Oct-2024 20:33 540K
ocaml-containers-3.7-r2.apk 25-Oct-2024 20:33 4M
ocaml-containers-dev-3.7-r2.apk 25-Oct-2024 20:33 7M
ocaml-containers-top-3.7-r2.apk 25-Oct-2024 20:33 22K
ocaml-cstruct-6.1.0-r3.apk 25-Oct-2024 20:33 5M
ocaml-cstruct-dev-6.1.0-r3.apk 25-Oct-2024 20:33 705K
ocaml-ctypes-0.20.1-r2.apk 25-Oct-2024 20:33 923K
ocaml-ctypes-dev-0.20.1-r2.apk 25-Oct-2024 20:33 845K
ocaml-curses-1.0.10-r2.apk 25-Oct-2024 20:33 147K
ocaml-curses-dev-1.0.10-r2.apk 25-Oct-2024 20:33 310K
ocaml-dns-6.2.2-r3.apk 25-Oct-2024 20:33 2M
ocaml-dns-dev-6.2.2-r3.apk 25-Oct-2024 20:33 4M
ocaml-dns-tools-6.2.2-r3.apk 25-Oct-2024 20:33 13M
ocaml-domain-name-0.4.0-r2.apk 25-Oct-2024 20:33 76K
ocaml-domain-name-dev-0.4.0-r2.apk 25-Oct-2024 20:33 140K
ocaml-down-0.1.0-r3.apk 25-Oct-2024 20:33 727K
ocaml-down-dev-0.1.0-r3.apk 25-Oct-2024 20:33 352K
ocaml-duration-0.2.0-r2.apk 25-Oct-2024 20:33 27K
ocaml-duration-dev-0.2.0-r2.apk 25-Oct-2024 20:33 43K
ocaml-easy-format-1.3.4-r1.apk 25-Oct-2024 20:33 62K
ocaml-easy-format-dev-1.3.4-r1.apk 25-Oct-2024 20:33 111K
ocaml-eqaf-0.8-r2.apk 25-Oct-2024 20:33 70K
ocaml-eqaf-dev-0.8-r2.apk 25-Oct-2024 20:33 119K
ocaml-erm_xml-0_git20211229-r2.apk 25-Oct-2024 20:33 595K
ocaml-erm_xml-dev-0_git20211229-r2.apk 25-Oct-2024 20:33 778K
ocaml-erm_xmpp-0_git20220404-r2.apk 25-Oct-2024 20:33 1M
ocaml-erm_xmpp-dev-0_git20220404-r2.apk 25-Oct-2024 20:33 2M
ocaml-ethernet-3.0.0-r3.apk 25-Oct-2024 20:33 45K
ocaml-ethernet-dev-3.0.0-r3.apk 25-Oct-2024 20:33 82K
ocaml-extlib-1.7.9-r2.apk 25-Oct-2024 20:33 657K
ocaml-extlib-dev-1.7.9-r2.apk 25-Oct-2024 20:33 1M
ocaml-extlib-doc-1.7.9-r2.apk 25-Oct-2024 20:33 11K
ocaml-ezxmlm-1.1.0-r0.apk 25-Oct-2024 20:33 33K
ocaml-ezxmlm-dev-1.1.0-r0.apk 25-Oct-2024 20:33 51K
ocaml-fileutils-0.6.4-r2.apk 25-Oct-2024 20:33 321K
ocaml-fileutils-dev-0.6.4-r2.apk 25-Oct-2024 20:33 590K
ocaml-fileutils-doc-0.6.4-r2.apk 25-Oct-2024 20:33 16K
ocaml-fix-20220121-r2.apk 25-Oct-2024 20:33 170K
ocaml-fix-dev-20220121-r2.apk 25-Oct-2024 20:33 423K
ocaml-fmt-0.9.0-r2.apk 25-Oct-2024 20:33 196K
ocaml-fmt-dev-0.9.0-r2.apk 25-Oct-2024 20:33 118K
ocaml-fpath-0.7.3-r2.apk 25-Oct-2024 20:33 142K
ocaml-fpath-dev-0.7.3-r2.apk 25-Oct-2024 20:33 97K
ocaml-gen-1.1-r1.apk 25-Oct-2024 20:33 330K
ocaml-gen-dev-1.1-r1.apk 25-Oct-2024 20:33 600K
ocaml-gettext-0.4.2-r3.apk 25-Oct-2024 20:33 4M
ocaml-gettext-dev-0.4.2-r3.apk 25-Oct-2024 20:33 716K
ocaml-gettext-doc-0.4.2-r3.apk 25-Oct-2024 20:33 19K
ocaml-gitlab-0.1.8-r0.apk 25-Oct-2024 20:33 3M
ocaml-gitlab-dev-0.1.8-r0.apk 25-Oct-2024 20:33 12M
ocaml-gmap-0.3.0-r2.apk 25-Oct-2024 20:33 37K
ocaml-gmap-dev-0.3.0-r2.apk 25-Oct-2024 20:33 74K
ocaml-happy-eyeballs-0.3.0-r2.apk 25-Oct-2024 20:33 87K
ocaml-happy-eyeballs-dev-0.3.0-r2.apk 25-Oct-2024 20:33 147K
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk 25-Oct-2024 20:33 43K
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk 25-Oct-2024 20:33 58K
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk 25-Oct-2024 20:33 3M
ocaml-hex-1.5.0-r2.apk 25-Oct-2024 20:33 31K
ocaml-hex-dev-1.5.0-r2.apk 25-Oct-2024 20:33 53K
ocaml-higlo-0.9-r0.apk 25-Oct-2024 20:33 6M
ocaml-higlo-dev-0.9-r0.apk 25-Oct-2024 20:33 656K
ocaml-hkdf-1.0.4-r2.apk 25-Oct-2024 20:33 14K
ocaml-hkdf-dev-1.0.4-r2.apk 25-Oct-2024 20:33 18K
ocaml-integers-0.7.0-r2.apk 25-Oct-2024 20:33 131K
ocaml-integers-dev-0.7.0-r2.apk 25-Oct-2024 20:33 266K
ocaml-ipaddr-5.3.1-r2.apk 25-Oct-2024 20:33 323K
ocaml-ipaddr-dev-5.3.1-r2.apk 25-Oct-2024 20:33 553K
ocaml-iri-1.0.0-r0.apk 25-Oct-2024 20:33 2M
ocaml-iri-dev-1.0.0-r0.apk 25-Oct-2024 20:33 760K
ocaml-iso8601-0.2.6-r0.apk 25-Oct-2024 20:33 55K
ocaml-iso8601-dev-0.2.6-r0.apk 25-Oct-2024 20:33 86K
ocaml-jsonm-1.0.2-r0.apk 25-Oct-2024 20:33 123K
ocaml-jsonm-dev-1.0.2-r0.apk 25-Oct-2024 20:33 63K
ocaml-jsonm-tools-1.0.2-r0.apk 25-Oct-2024 20:33 529K
ocaml-lablgtk3-3.1.2-r3.apk 25-Oct-2024 20:33 8M
ocaml-lablgtk3-dev-3.1.2-r3.apk 25-Oct-2024 20:33 14M
ocaml-lablgtk3-extras-3.0.1-r2.apk 25-Oct-2024 20:33 930K
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk 25-Oct-2024 20:33 2M
ocaml-labltk-8.06.12-r2.apk 25-Oct-2024 20:33 3M
ocaml-labltk-dev-8.06.12-r2.apk 25-Oct-2024 20:33 1M
ocaml-lambda-term-3.2.0-r4.apk 25-Oct-2024 20:33 4M
ocaml-lambda-term-dev-3.2.0-r4.apk 25-Oct-2024 20:33 4M
ocaml-lambda-term-doc-3.2.0-r4.apk 25-Oct-2024 20:33 9021
ocaml-lambdasoup-0.7.3-r2.apk 25-Oct-2024 20:33 194K
ocaml-lambdasoup-dev-0.7.3-r2.apk 25-Oct-2024 20:33 340K
ocaml-libvirt-0.6.1.7-r0.apk 25-Oct-2024 20:33 178K
ocaml-libvirt-dev-0.6.1.7-r0.apk 25-Oct-2024 20:33 91K
ocaml-libvirt-doc-0.6.1.7-r0.apk 25-Oct-2024 20:33 14K
ocaml-logs-0.7.0-r3.apk 25-Oct-2024 20:33 119K
ocaml-logs-dev-0.7.0-r3.apk 25-Oct-2024 20:33 83K
ocaml-lru-0.3.0-r2.apk 25-Oct-2024 20:33 78K
ocaml-lru-dev-0.3.0-r2.apk 25-Oct-2024 20:33 161K
ocaml-lwd-0.3-r0.apk 25-Oct-2024 20:33 506K
ocaml-lwd-dev-0.3-r0.apk 25-Oct-2024 20:33 1007K
ocaml-lwt-5.7.0-r0.apk 25-Oct-2024 20:33 1M
ocaml-lwt-dev-5.7.0-r0.apk 25-Oct-2024 20:33 3M
ocaml-lwt-dllist-1.0.1-r3.apk 25-Oct-2024 20:33 23K
ocaml-lwt-dllist-dev-1.0.1-r3.apk 25-Oct-2024 20:33 39K
ocaml-lwt_log-1.1.1-r5.apk 25-Oct-2024 20:33 136K
ocaml-lwt_log-dev-1.1.1-r5.apk 25-Oct-2024 20:33 253K
ocaml-lwt_ppx-5.7.0-r0.apk 25-Oct-2024 20:33 5M
ocaml-lwt_react-5.7.0-r0.apk 25-Oct-2024 20:33 123K
ocaml-lwt_ssl-1.2.0-r0.apk 25-Oct-2024 20:33 30K
ocaml-lwt_ssl-dev-1.2.0-r0.apk 25-Oct-2024 20:33 45K
ocaml-magic-mime-1.3.1-r0.apk 25-Oct-2024 20:33 230K
ocaml-magic-mime-dev-1.3.1-r0.apk 25-Oct-2024 20:33 326K
ocaml-markup-1.0.3-r3.apk 25-Oct-2024 20:33 1M
ocaml-markup-dev-1.0.3-r3.apk 25-Oct-2024 20:33 2M
ocaml-menhir-20220210-r2.apk 25-Oct-2024 20:33 2M
ocaml-menhir-dev-20220210-r2.apk 25-Oct-2024 20:33 900K
ocaml-menhir-doc-20220210-r2.apk 25-Oct-2024 20:33 4044
ocaml-merlin-extend-0.6.1-r2.apk 25-Oct-2024 20:33 51K
ocaml-merlin-extend-dev-0.6.1-r2.apk 25-Oct-2024 20:33 90K
ocaml-metrics-0.4.0-r3.apk 25-Oct-2024 20:33 254K
ocaml-metrics-dev-0.4.0-r3.apk 25-Oct-2024 20:33 444K
ocaml-mew-0.1.0-r3.apk 25-Oct-2024 20:33 71K
ocaml-mew-dev-0.1.0-r3.apk 25-Oct-2024 20:33 95K
ocaml-mew_vi-0.5.0-r3.apk 25-Oct-2024 20:33 189K
ocaml-mew_vi-dev-0.5.0-r3.apk 25-Oct-2024 20:33 295K
ocaml-mikmatch-1.0.9-r2.apk 25-Oct-2024 20:33 145K
ocaml-mirage-clock-4.2.0-r2.apk 25-Oct-2024 20:33 28K
ocaml-mirage-clock-dev-4.2.0-r2.apk 25-Oct-2024 20:33 33K
ocaml-mirage-crypto-0.10.6-r3.apk 25-Oct-2024 20:33 1M
ocaml-mirage-crypto-dev-0.10.6-r3.apk 25-Oct-2024 20:33 3M
ocaml-mirage-flow-3.0.0-r3.apk 25-Oct-2024 20:33 113K
ocaml-mirage-flow-dev-3.0.0-r3.apk 25-Oct-2024 20:33 191K
ocaml-mirage-kv-4.0.1-r3.apk 25-Oct-2024 20:33 21K
ocaml-mirage-kv-dev-4.0.1-r3.apk 25-Oct-2024 20:33 43K
ocaml-mirage-net-4.0.0-r3.apk 25-Oct-2024 20:33 12K
ocaml-mirage-net-dev-4.0.0-r3.apk 25-Oct-2024 20:33 19K
ocaml-mirage-profile-0.9.1-r3.apk 25-Oct-2024 20:33 25K
ocaml-mirage-profile-dev-0.9.1-r3.apk 25-Oct-2024 20:33 30K
ocaml-mirage-random-3.0.0-r3.apk 25-Oct-2024 20:33 7085
ocaml-mirage-random-dev-3.0.0-r3.apk 25-Oct-2024 20:33 5423
ocaml-mirage-time-3.0.0-r4.apk 25-Oct-2024 20:33 10K
ocaml-mirage-time-dev-3.0.0-r4.apk 25-Oct-2024 20:33 8159
ocaml-mmap-1.2.0-r3.apk 25-Oct-2024 20:33 7291
ocaml-mmap-dev-1.2.0-r3.apk 25-Oct-2024 20:33 6579
ocaml-mqtt-0.2.2-r0.apk 25-Oct-2024 20:33 161K
ocaml-mqtt-dev-0.2.2-r0.apk 25-Oct-2024 20:33 252K
ocaml-mtime-1.4.0-r2.apk 25-Oct-2024 20:33 49K
ocaml-mtime-dev-1.4.0-r2.apk 25-Oct-2024 20:33 47K
ocaml-notty-0.2.3-r0.apk 25-Oct-2024 20:33 320K
ocaml-notty-dev-0.2.3-r0.apk 25-Oct-2024 20:33 603K
ocaml-num-1.4-r3.apk 25-Oct-2024 20:33 267K
ocaml-num-dev-1.4-r3.apk 25-Oct-2024 20:33 87K
ocaml-obuild-0.1.11-r0.apk 25-Oct-2024 20:33 1M
ocaml-ocf-0.8.0-r3.apk 25-Oct-2024 20:33 10M
ocaml-ocf-dev-0.8.0-r3.apk 25-Oct-2024 20:33 321K
ocaml-ocp-indent-1.8.2-r2.apk 25-Oct-2024 20:33 551K
ocaml-ocp-indent-dev-1.8.2-r2.apk 25-Oct-2024 20:33 638K
ocaml-ocp-index-1.3.6-r0.apk 25-Oct-2024 20:33 466K
ocaml-ocp-index-dev-1.3.6-r0.apk 25-Oct-2024 20:33 529K
ocaml-ocplib-endian-1.2-r3.apk 25-Oct-2024 20:33 159K
ocaml-ocplib-endian-dev-1.2-r3.apk 25-Oct-2024 20:33 255K
ocaml-omake-0.10.6-r0.apk 25-Oct-2024 20:33 2M
ocaml-omake-doc-0.10.6-r0.apk 25-Oct-2024 20:33 8232
ocaml-omod-0.0.3-r3.apk 25-Oct-2024 20:33 342K
ocaml-omod-bin-0.0.3-r3.apk 25-Oct-2024 20:33 3M
ocaml-omod-dev-0.0.3-r3.apk 25-Oct-2024 20:33 205K
ocaml-otoml-1.0.5-r0.apk 25-Oct-2024 20:33 453K
ocaml-otoml-dev-1.0.5-r0.apk 25-Oct-2024 20:33 768K
ocaml-otr-0.3.10-r2.apk 25-Oct-2024 20:33 294K
ocaml-otr-dev-0.3.10-r2.apk 25-Oct-2024 20:33 519K
ocaml-ounit-2.2.7-r3.apk 25-Oct-2024 20:33 560K
ocaml-ounit-dev-2.2.7-r3.apk 25-Oct-2024 20:33 1M
ocaml-parsexp-0.16.0-r0.apk 25-Oct-2024 20:33 410K
ocaml-parsexp-dev-0.16.0-r0.apk 25-Oct-2024 20:33 940K
ocaml-pbkdf-1.2.0-r2.apk 25-Oct-2024 20:33 18K
ocaml-pbkdf-dev-1.2.0-r2.apk 25-Oct-2024 20:33 25K
ocaml-pcre-7.5.0-r4.apk 25-Oct-2024 20:33 156K
ocaml-pcre-dev-7.5.0-r4.apk 25-Oct-2024 20:33 304K
ocaml-ppx_blob-0.8.0-r0.apk 25-Oct-2024 20:33 5M
ocaml-ppx_blob-dev-0.8.0-r0.apk 25-Oct-2024 20:33 18K
ocaml-ppx_derivers-1.2.1-r2.apk 25-Oct-2024 20:33 9824
ocaml-ppx_derivers-dev-1.2.1-r2.apk 25-Oct-2024 20:33 11K
ocaml-ppx_deriving-5.3.0-r0.apk 25-Oct-2024 20:33 6M
ocaml-ppx_deriving-dev-5.3.0-r0.apk 25-Oct-2024 20:33 1M
ocaml-ppx_sexp_conv-0.16.0-r0.apk 25-Oct-2024 20:33 607K
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk 25-Oct-2024 20:33 1M
ocaml-ppxlib-0.32.0-r0.apk 25-Oct-2024 20:33 14M
ocaml-ppxlib-dev-0.32.0-r0.apk 25-Oct-2024 20:33 16M
ocaml-psq-0.2.0-r2.apk 25-Oct-2024 20:33 100K
ocaml-psq-dev-0.2.0-r2.apk 25-Oct-2024 20:33 171K
ocaml-ptime-1.0.0-r2.apk 25-Oct-2024 20:33 115K
ocaml-ptime-dev-1.0.0-r2.apk 25-Oct-2024 20:33 72K
ocaml-ptmap-2.0.5-r3.apk 25-Oct-2024 20:33 55K
ocaml-ptmap-dev-2.0.5-r3.apk 25-Oct-2024 20:33 92K
ocaml-qcheck-0.18.1-r3.apk 25-Oct-2024 20:33 691K
ocaml-qcheck-dev-0.18.1-r3.apk 25-Oct-2024 20:33 1M
ocaml-qtest-2.11.2-r3.apk 25-Oct-2024 20:33 389K
ocaml-qtest-dev-2.11.2-r3.apk 25-Oct-2024 20:33 3603
ocaml-randomconv-0.1.3-r2.apk 25-Oct-2024 20:33 14K
ocaml-randomconv-dev-0.1.3-r2.apk 25-Oct-2024 20:33 18K
ocaml-re-1.11.0-r1.apk 25-Oct-2024 20:33 540K
ocaml-re-dev-1.11.0-r1.apk 25-Oct-2024 20:33 969K
ocaml-react-1.2.2-r2.apk 25-Oct-2024 20:33 289K
ocaml-react-dev-1.2.2-r2.apk 25-Oct-2024 20:33 184K
ocaml-reason-3.8.2-r1.apk 25-Oct-2024 20:33 16M
ocaml-reason-dev-3.8.2-r1.apk 25-Oct-2024 20:33 28M
ocaml-result-1.5-r2.apk 25-Oct-2024 20:33 9189
ocaml-result-dev-1.5-r2.apk 25-Oct-2024 20:33 8065
ocaml-rresult-0.7.0-r2.apk 25-Oct-2024 20:33 39K
ocaml-rresult-dev-0.7.0-r2.apk 25-Oct-2024 20:33 34K
ocaml-sedlex-3.2-r0.apk 25-Oct-2024 20:33 5M
ocaml-sedlex-dev-3.2-r0.apk 25-Oct-2024 20:33 2M
ocaml-seq-0.3.1-r2.apk 25-Oct-2024 20:33 14K
ocaml-seq-dev-0.3.1-r2.apk 25-Oct-2024 20:33 20K
ocaml-sexplib-0.16.0-r0.apk 25-Oct-2024 20:33 497K
ocaml-sexplib-dev-0.16.0-r0.apk 25-Oct-2024 20:33 813K
ocaml-sexplib0-0.16.0-r0.apk 25-Oct-2024 20:33 175K
ocaml-sexplib0-dev-0.16.0-r0.apk 25-Oct-2024 20:33 331K
ocaml-sha-1.15.4-r0.apk 25-Oct-2024 20:33 80K
ocaml-sha-dev-1.15.4-r0.apk 25-Oct-2024 20:33 251K
ocaml-ssl-0.7.0-r0.apk 25-Oct-2024 20:33 101K
ocaml-ssl-dev-0.7.0-r0.apk 25-Oct-2024 20:33 209K
ocaml-stdlib-shims-0.3.0-r2.apk 25-Oct-2024 20:33 4260
ocaml-stk-0.1.0-r0.apk 25-Oct-2024 20:33 6M
ocaml-stk-dev-0.1.0-r0.apk 25-Oct-2024 20:33 10M
ocaml-stringext-1.6.0-r2.apk 25-Oct-2024 20:33 45K
ocaml-stringext-dev-1.6.0-r2.apk 25-Oct-2024 20:33 77K
ocaml-tcpip-7.1.2-r3.apk 25-Oct-2024 20:33 1M
ocaml-tcpip-dev-7.1.2-r3.apk 25-Oct-2024 20:33 2M
ocaml-tls-0.15.3-r4.apk 25-Oct-2024 20:33 1M
ocaml-tls-dev-0.15.3-r4.apk 25-Oct-2024 20:33 2M
ocaml-tophide-1.0.4-r2.apk 25-Oct-2024 20:33 5789
ocaml-topkg-1.0.5-r2.apk 25-Oct-2024 20:33 637K
ocaml-topkg-dev-1.0.5-r2.apk 25-Oct-2024 20:33 383K
ocaml-trie-1.0.0-r2.apk 25-Oct-2024 20:33 16K
ocaml-trie-dev-1.0.0-r2.apk 25-Oct-2024 20:33 25K
ocaml-tsdl-1.0.0-r0.apk 25-Oct-2024 20:33 951K
ocaml-tsdl-dev-1.0.0-r0.apk 25-Oct-2024 20:33 565K
ocaml-tsdl-image-0.6-r0.apk 25-Oct-2024 20:33 51K
ocaml-tsdl-image-dev-0.6-r0.apk 25-Oct-2024 20:33 77K
ocaml-tsdl-ttf-0.6-r0.apk 25-Oct-2024 20:33 67K
ocaml-tsdl-ttf-dev-0.6-r0.apk 25-Oct-2024 20:33 107K
ocaml-uri-4.2.0-r2.apk 25-Oct-2024 20:33 2M
ocaml-uri-dev-4.2.0-r2.apk 25-Oct-2024 20:33 4M
ocaml-utop-2.9.1-r4.apk 25-Oct-2024 20:33 349K
ocaml-utop-dev-2.9.1-r4.apk 25-Oct-2024 20:33 763K
ocaml-uucd-14.0.0-r2.apk 25-Oct-2024 20:33 283K
ocaml-uucd-dev-14.0.0-r2.apk 25-Oct-2024 20:33 167K
ocaml-uucp-14.0.0-r2.apk 25-Oct-2024 20:33 6M
ocaml-uucp-dev-14.0.0-r2.apk 25-Oct-2024 20:33 1M
ocaml-uuidm-0.9.8-r2.apk 25-Oct-2024 20:33 48K
ocaml-uuidm-dev-0.9.8-r2.apk 25-Oct-2024 20:33 24K
ocaml-uuidm-tools-0.9.8-r2.apk 25-Oct-2024 20:33 529K
ocaml-uunf-14.0.0-r2.apk 25-Oct-2024 20:33 1M
ocaml-uunf-dev-14.0.0-r2.apk 25-Oct-2024 20:33 235K
ocaml-uuseg-14.0.0-r2.apk 25-Oct-2024 20:33 95K
ocaml-uuseg-dev-14.0.0-r2.apk 25-Oct-2024 20:33 47K
ocaml-uuseg-tools-14.0.0-r2.apk 25-Oct-2024 20:33 2M
ocaml-uutf-1.0.3-r2.apk 25-Oct-2024 20:33 725K
ocaml-uutf-dev-1.0.3-r2.apk 25-Oct-2024 20:33 53K
ocaml-x509-0.16.0-r2.apk 25-Oct-2024 20:33 866K
ocaml-x509-dev-0.16.0-r2.apk 25-Oct-2024 20:33 2M
ocaml-xml-light-2.5-r0.apk 25-Oct-2024 20:33 225K
ocaml-xml-light-dev-2.5-r0.apk 25-Oct-2024 20:33 382K
ocaml-xmlm-1.4.0-r2.apk 25-Oct-2024 20:33 639K
ocaml-xmlm-dev-1.4.0-r2.apk 25-Oct-2024 20:33 97K
ocaml-xtmpl-0.19.0-r0.apk 25-Oct-2024 20:33 11M
ocaml-xtmpl-dev-0.19.0-r0.apk 25-Oct-2024 20:33 764K
ocaml-yojson-2.1.2-r0.apk 25-Oct-2024 20:33 1M
ocaml-yojson-dev-2.1.2-r0.apk 25-Oct-2024 20:33 2M
ocaml-zed-3.1.0-r3.apk 25-Oct-2024 20:33 533K
ocaml-zed-dev-3.1.0-r3.apk 25-Oct-2024 20:33 1M
ocamlnet-4.1.9-r2.apk 25-Oct-2024 20:33 17M
ocamlnet-dev-4.1.9-r2.apk 25-Oct-2024 20:33 6M
ocamlnet-tcl-4.1.9-r2.apk 25-Oct-2024 20:33 53K
ocfs2-tools-1.8.7-r4.apk 25-Oct-2024 20:33 1M
ocfs2-tools-dev-1.8.7-r4.apk 25-Oct-2024 20:33 45K
ocfs2-tools-doc-1.8.7-r4.apk 25-Oct-2024 20:33 69K
ocp-indent-1.8.2-r2.apk 25-Oct-2024 20:33 1M
ocp-indent-doc-1.8.2-r2.apk 25-Oct-2024 20:33 17K
ocp-indent-emacs-1.8.2-r2.apk 25-Oct-2024 20:33 3819
ocp-indent-vim-1.8.2-r2.apk 25-Oct-2024 20:33 2473
ocp-index-1.3.6-r0.apk 25-Oct-2024 20:33 7M
ocp-index-doc-1.3.6-r0.apk 25-Oct-2024 20:33 31K
ocp-index-emacs-1.3.6-r0.apk 25-Oct-2024 20:33 6447
ocp-index-vim-1.3.6-r0.apk 25-Oct-2024 20:33 3132
octoprint-1.10.1-r1.apk 25-Oct-2024 20:33 3M
octoprint-creality2xfix-0.0.4-r2.apk 25-Oct-2024 20:33 4824
octoprint-creality2xfix-pyc-0.0.4-r2.apk 25-Oct-2024 20:33 3414
octoprint-filecheck-2024.3.27-r1.apk 25-Oct-2024 20:33 28K
octoprint-filecheck-pyc-2024.3.27-r1.apk 25-Oct-2024 20:33 11K
octoprint-firmwarecheck-2021.10.11-r2.apk 25-Oct-2024 20:33 29K
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk 25-Oct-2024 20:33 18K
octoprint-openrc-1.10.1-r1.apk 25-Oct-2024 20:33 1792
octoprint-pisupport-2023.10.10-r1.apk 25-Oct-2024 20:33 31K
octoprint-pisupport-pyc-2023.10.10-r1.apk 25-Oct-2024 20:33 13K
octoprint-pyc-1.10.1-r1.apk 25-Oct-2024 20:33 1M
ode-0.16.5-r0.apk 25-Oct-2024 20:33 558K
oil-0.21.0-r0.apk 25-Oct-2024 20:33 2M
oil-doc-0.21.0-r0.apk 25-Oct-2024 20:33 7160
ol-2.4-r0.apk 25-Oct-2024 20:33 986K
ol-doc-2.4-r0.apk 25-Oct-2024 20:33 2639
olab-0.1.8-r0.apk 25-Oct-2024 20:33 4M
olsrd-0.9.8-r3.apk 25-Oct-2024 20:33 171K
olsrd-doc-0.9.8-r3.apk 25-Oct-2024 20:33 25K
olsrd-openrc-0.9.8-r3.apk 25-Oct-2024 20:33 1926
olsrd-plugins-0.9.8-r3.apk 25-Oct-2024 20:33 184K
opcr-policy-0.2.21-r0.apk 25-Oct-2024 20:33 8M
openapi-tui-0.9.4-r1.apk 25-Oct-2024 20:33 4M
openapi-validator-1.19.2-r0.apk 25-Oct-2024 20:33 10M
opendht-3.1.7-r4.apk 11-Nov-2024 17:37 193K
opendht-dev-3.1.7-r4.apk 11-Nov-2024 17:37 71K
opendht-doc-3.1.7-r4.apk 11-Nov-2024 17:37 3098
opendht-libs-3.1.7-r4.apk 11-Nov-2024 17:37 606K
openfortivpn-1.21.0-r0.apk 25-Oct-2024 20:33 43K
openfortivpn-doc-1.21.0-r0.apk 25-Oct-2024 20:33 6501
openfpgaloader-0.11.0-r0.apk 25-Oct-2024 20:33 2M
openjdk22-22.0.2_p9-r2.apk 25-Oct-2024 20:33 1501
openjdk22-demos-22.0.2_p9-r2.apk 25-Oct-2024 20:33 5M
openjdk22-doc-22.0.2_p9-r2.apk 25-Oct-2024 20:33 186K
openjdk22-jdk-22.0.2_p9-r2.apk 25-Oct-2024 20:33 7M
openjdk22-jmods-22.0.2_p9-r2.apk 25-Oct-2024 20:33 72M
openjdk22-jre-22.0.2_p9-r2.apk 25-Oct-2024 20:33 967K
openjdk22-jre-headless-22.0.2_p9-r2.apk 25-Oct-2024 20:33 59M
openjdk22-src-22.0.2_p9-r2.apk 25-Oct-2024 20:33 46M
openjdk22-static-libs-22.0.2_p9-r2.apk 25-Oct-2024 20:33 16M
openjdk23-23.0.1_p11-r0.apk 25-Oct-2024 20:33 1501
openjdk23-demos-23.0.1_p11-r0.apk 25-Oct-2024 20:33 5M
openjdk23-doc-23.0.1_p11-r0.apk 25-Oct-2024 20:33 187K
openjdk23-jdk-23.0.1_p11-r0.apk 25-Oct-2024 20:33 7M
openjdk23-jmods-23.0.1_p11-r0.apk 25-Oct-2024 20:33 76M
openjdk23-jre-23.0.1_p11-r0.apk 25-Oct-2024 20:33 968K
openjdk23-jre-headless-23.0.1_p11-r0.apk 25-Oct-2024 20:34 64M
openjdk23-src-23.0.1_p11-r0.apk 25-Oct-2024 20:34 46M
openjdk23-static-libs-23.0.1_p11-r0.apk 25-Oct-2024 20:34 16M
openocd-esp32-0_git20230921-r5.apk 25-Oct-2024 20:34 2M
openocd-esp32-dev-0_git20230921-r5.apk 25-Oct-2024 20:34 3479
openocd-esp32-doc-0_git20230921-r5.apk 25-Oct-2024 20:34 3364
openocd-esp32-udev-rules-0_git20230921-r5.apk 25-Oct-2024 20:34 3502
openocd-git-0_git20240113-r1.apk 25-Oct-2024 20:34 2M
openocd-git-cmd-openocd-0_git20240113-r1.apk 25-Oct-2024 20:34 1540
openocd-git-dbg-0_git20240113-r1.apk 25-Oct-2024 20:34 4M
openocd-git-dev-0_git20240113-r1.apk 25-Oct-2024 20:34 3497
openocd-git-doc-0_git20240113-r1.apk 25-Oct-2024 20:34 3373
openocd-git-udev-rules-0_git20240113-r1.apk 25-Oct-2024 20:34 3498
openocd-riscv-0_git20230104-r2.apk 25-Oct-2024 20:34 2M
openocd-riscv-dev-0_git20230104-r2.apk 25-Oct-2024 20:34 3815
openocd-riscv-doc-0_git20230104-r2.apk 25-Oct-2024 20:34 3370
openocd-riscv-udev-rules-0_git20230104-r2.apk 25-Oct-2024 20:34 3354
openscap-daemon-0.1.10-r9.apk 25-Oct-2024 20:34 60K
openscap-daemon-doc-0.1.10-r9.apk 25-Oct-2024 20:34 18K
openscap-daemon-pyc-0.1.10-r9.apk 25-Oct-2024 20:34 102K
openslide-3.4.1-r3.apk 25-Oct-2024 20:34 84K
openslide-dev-3.4.1-r3.apk 25-Oct-2024 20:34 7045
openslide-doc-3.4.1-r3.apk 25-Oct-2024 20:34 5064
openslide-tools-3.4.1-r3.apk 25-Oct-2024 20:34 10K
opensmtpd-filter-dkimsign-0.6-r1.apk 25-Oct-2024 20:34 15K
opensmtpd-filter-dkimsign-doc-0.6-r1.apk 25-Oct-2024 20:34 3648
openssl1.1-compat-1.1.1w-r1.apk 25-Oct-2024 20:34 268K
openssl1.1-compat-dbg-1.1.1w-r1.apk 25-Oct-2024 20:34 4M
openssl1.1-compat-dev-1.1.1w-r1.apk 25-Oct-2024 20:34 261K
openssl1.1-compat-libs-static-1.1.1w-r1.apk 25-Oct-2024 20:34 6M
openswitcher-0.5.0-r4.apk 25-Oct-2024 20:34 148K
openswitcher-proxy-0.5.0-r4.apk 25-Oct-2024 20:34 9609
openswitcher-proxy-openrc-0.5.0-r4.apk 25-Oct-2024 20:34 2083
openttd-14.1-r0.apk 25-Oct-2024 20:34 8M
openttd-doc-14.1-r0.apk 25-Oct-2024 20:34 264K
openttd-lang-14.1-r0.apk 25-Oct-2024 20:34 3M
openttd-opengfx-7.1-r0.apk 25-Oct-2024 20:34 3M
openttd-openmsx-0.4.2-r0.apk 25-Oct-2024 20:34 129K
openttd-opensfx-1.0.3-r0.apk 25-Oct-2024 20:34 11M
openwsman-2.7.2-r5.apk 25-Oct-2024 20:34 52K
openwsman-dev-2.7.2-r5.apk 25-Oct-2024 20:34 58K
openwsman-doc-2.7.2-r5.apk 25-Oct-2024 20:34 2447
openwsman-libs-2.7.2-r5.apk 25-Oct-2024 20:34 348K
opkg-0.7.0-r0.apk 25-Oct-2024 20:34 10K
opkg-dev-0.7.0-r0.apk 25-Oct-2024 20:34 112K
opkg-doc-0.7.0-r0.apk 25-Oct-2024 20:34 7834
opkg-libs-0.7.0-r0.apk 25-Oct-2024 20:34 84K
opkg-utils-0.7.0-r0.apk 25-Oct-2024 20:34 25K
opkg-utils-doc-0.7.0-r0.apk 25-Oct-2024 20:34 3705
opmsg-1.84-r1.apk 25-Oct-2024 20:34 259K
orage-4.18.0-r0.apk 25-Oct-2024 20:34 576K
orage-lang-4.18.0-r0.apk 25-Oct-2024 20:34 1M
osmctools-0.9-r0.apk 25-Oct-2024 20:34 128K
otf-atkinson-hyperlegible-2020.0514-r1.apk 25-Oct-2024 20:34 102K
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk 25-Oct-2024 20:34 48K
otpclient-4.0.2-r0.apk 25-Oct-2024 20:34 121K
otpclient-doc-4.0.2-r0.apk 25-Oct-2024 20:34 3732
otrs-6.0.48-r2.apk 25-Oct-2024 20:34 29M
otrs-apache2-6.0.48-r2.apk 25-Oct-2024 20:34 4128
otrs-bash-completion-6.0.48-r2.apk 25-Oct-2024 20:34 2465
otrs-dev-6.0.48-r2.apk 25-Oct-2024 20:34 4M
otrs-doc-6.0.48-r2.apk 25-Oct-2024 20:34 795K
otrs-fastcgi-6.0.48-r2.apk 25-Oct-2024 20:34 1812
otrs-nginx-6.0.48-r2.apk 25-Oct-2024 20:34 1832
otrs-openrc-6.0.48-r2.apk 25-Oct-2024 20:34 1937
otrs-setup-6.0.48-r2.apk 25-Oct-2024 20:34 107K
ouch-0.5.1-r0.apk 25-Oct-2024 20:34 1M
ouch-bash-completion-0.5.1-r0.apk 25-Oct-2024 20:34 2348
ouch-doc-0.5.1-r0.apk 25-Oct-2024 20:34 3751
ouch-fish-completion-0.5.1-r0.apk 25-Oct-2024 20:34 2428
ouch-zsh-completion-0.5.1-r0.apk 25-Oct-2024 20:34 2935
ovn-24.03.1-r0.apk 25-Oct-2024 20:34 7M
ovn-dbg-24.03.1-r0.apk 25-Oct-2024 20:34 26M
ovn-dev-24.03.1-r0.apk 25-Oct-2024 20:34 11M
ovn-doc-24.03.1-r0.apk 25-Oct-2024 20:34 512K
ovn-openrc-24.03.1-r0.apk 25-Oct-2024 20:34 4251
ovos-core-0.1.1-r0.apk 25-Oct-2024 20:34 17M
ovos-core-pyc-0.1.1-r0.apk 25-Oct-2024 20:34 262K
ovos-gui-0.2.1-r0.apk 25-Oct-2024 20:34 35K
ovos-gui-pyc-0.2.1-r0.apk 25-Oct-2024 20:34 44K
ovos-messagebus-0.0.6-r0.apk 25-Oct-2024 20:34 10K
ovos-messagebus-pyc-0.0.6-r0.apk 25-Oct-2024 20:34 6735
ovos-phal-0.2.3-r0.apk 25-Oct-2024 20:34 11K
ovos-phal-pyc-0.2.3-r0.apk 25-Oct-2024 20:34 7519
ovos-skill-hello-world-0.0.4_alpha3-r1.apk 25-Oct-2024 20:34 46K
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk 25-Oct-2024 20:34 4031
ovpncc-0.1_rc1-r0.apk 25-Oct-2024 20:34 12K
ovpncc-doc-0.1_rc1-r0.apk 25-Oct-2024 20:34 6672
oxygen-icons-6.1.0-r0.apk 25-Oct-2024 20:34 32M
p0f-3.09b-r3.apk 25-Oct-2024 20:34 85K
p0f-doc-3.09b-r3.apk 25-Oct-2024 20:34 25K
p910nd-0.97-r2.apk 25-Oct-2024 20:34 7843
p910nd-doc-0.97-r2.apk 25-Oct-2024 20:34 3053
p910nd-openrc-0.97-r2.apk 25-Oct-2024 20:34 1883
pacparser-1.4.5-r1.apk 25-Oct-2024 20:34 792K
pacparser-dev-1.4.5-r1.apk 25-Oct-2024 20:34 3684
pacparser-doc-1.4.5-r1.apk 25-Oct-2024 20:34 18K
pam-krb5-4.11-r1.apk 25-Oct-2024 20:34 24K
pam-krb5-doc-4.11-r1.apk 25-Oct-2024 20:34 24K
pam_mount-2.20-r3.apk 25-Oct-2024 20:34 55K
pam_mount-dev-2.20-r3.apk 25-Oct-2024 20:34 3473
pam_mount-doc-2.20-r3.apk 25-Oct-2024 20:34 17K
pam_sqlite3-1.0.2-r2.apk 25-Oct-2024 20:34 9052
pamtester-0.1.2-r4.apk 25-Oct-2024 20:34 9539
pamtester-doc-0.1.2-r4.apk 25-Oct-2024 20:34 2954
pantalaimon-0.10.5-r4.apk 25-Oct-2024 20:34 45K
pantalaimon-doc-0.10.5-r4.apk 25-Oct-2024 20:34 6535
pantalaimon-pyc-0.10.5-r4.apk 25-Oct-2024 20:34 83K
pantalaimon-ui-0.10.5-r4.apk 25-Oct-2024 20:34 1762
paperkey-1.6-r2.apk 25-Oct-2024 20:34 16K
paperkey-doc-1.6-r2.apk 25-Oct-2024 20:34 4618
paprefs-1.2-r1.apk 25-Oct-2024 20:34 31K
paprefs-lang-1.2-r1.apk 25-Oct-2024 20:34 39K
par-1.53.0-r1.apk 25-Oct-2024 20:34 15K
par-doc-1.53.0-r1.apk 25-Oct-2024 20:34 30K
parcellite-1.2.5-r0.apk 25-Oct-2024 20:34 229K
parcellite-doc-1.2.5-r0.apk 25-Oct-2024 20:34 25K
parcellite-lang-1.2.5-r0.apk 25-Oct-2024 20:34 49K
pari-2.17.0-r0.apk 25-Oct-2024 20:34 593K
pari-dev-2.17.0-r0.apk 25-Oct-2024 20:34 106K
pari-doc-2.17.0-r0.apk 25-Oct-2024 20:34 925K
pari-libs-2.17.0-r0.apk 25-Oct-2024 20:34 5M
parse-changelog-0.6.8-r0.apk 25-Oct-2024 20:34 621K
pash-2.3.0-r2.apk 25-Oct-2024 20:34 4386
pass2csv-1.1.1-r1.apk 25-Oct-2024 20:34 8568
pass2csv-pyc-1.1.1-r1.apk 25-Oct-2024 20:34 7652
pasystray-0.8.2-r0.apk 25-Oct-2024 20:34 48K
pasystray-doc-0.8.2-r0.apk 25-Oct-2024 20:34 3412
pathvector-6.3.2-r8.apk 25-Oct-2024 20:34 4M
pcsc-perl-1.4.16-r1.apk 25-Oct-2024 20:34 26K
pcsc-perl-doc-1.4.16-r1.apk 25-Oct-2024 20:34 10K
pcsc-tools-1.7.2-r0.apk 25-Oct-2024 20:34 188K
pcsc-tools-doc-1.7.2-r0.apk 25-Oct-2024 20:34 6165
pdf2svg-0.2.3-r1.apk 25-Oct-2024 20:34 4814
pdfcpu-0.9.1-r0.apk 27-Oct-2024 17:26 5M
pdfcrack-0.20-r0.apk 25-Oct-2024 20:34 24K
pdm-2.18.2-r0.apk 25-Oct-2024 20:34 227K
pdm-pyc-2.18.2-r0.apk 25-Oct-2024 20:34 482K
pebble-le-0.3.0-r1.apk 25-Oct-2024 20:34 67K
pebble-le-dev-0.3.0-r1.apk 25-Oct-2024 20:34 41K
pebble-le-doc-0.3.0-r1.apk 25-Oct-2024 20:34 3819
peervpn-0.044-r5.apk 25-Oct-2024 20:34 41K
peervpn-openrc-0.044-r5.apk 25-Oct-2024 20:34 1827
peg-0.1.18-r1.apk 25-Oct-2024 20:34 35K
peg-doc-0.1.18-r1.apk 25-Oct-2024 20:34 14K
pegasus-frontend-16_alpha-r0.apk 25-Oct-2024 20:34 1M
pegasus-frontend-doc-16_alpha-r0.apk 25-Oct-2024 20:34 16K
percona-toolkit-3.5.4-r1.apk 25-Oct-2024 20:34 2M
percona-toolkit-doc-3.5.4-r1.apk 25-Oct-2024 20:34 298K
perl-adapter-async-0.019-r0.apk 25-Oct-2024 20:34 8329
perl-adapter-async-doc-0.019-r0.apk 25-Oct-2024 20:34 17K
perl-algorithm-backoff-0.010-r0.apk 25-Oct-2024 20:34 9815
perl-algorithm-backoff-doc-0.010-r0.apk 25-Oct-2024 20:34 29K
perl-algorithm-c3-0.11-r1.apk 25-Oct-2024 20:34 5796
perl-algorithm-c3-doc-0.11-r1.apk 25-Oct-2024 20:34 5222
perl-algorithm-cron-0.10-r4.apk 25-Oct-2024 20:34 6258
perl-algorithm-cron-doc-0.10-r4.apk 25-Oct-2024 20:34 4708
perl-algorithm-evolutionary-0.82.1-r0.apk 25-Oct-2024 20:34 79K
perl-algorithm-evolutionary-doc-0.82.1-r0.apk 25-Oct-2024 20:34 148K
perl-algorithm-permute-0.17-r0.apk 25-Oct-2024 20:34 13K
perl-algorithm-permute-doc-0.17-r0.apk 25-Oct-2024 20:34 5150
perl-aliased-0.34-r4.apk 25-Oct-2024 20:34 5796
perl-aliased-doc-0.34-r4.apk 25-Oct-2024 20:34 5809
perl-anyevent-dns-etchosts-0.0105-r0.apk 25-Oct-2024 20:34 5228
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk 25-Oct-2024 20:34 4080
perl-anyevent-future-0.05-r0.apk 25-Oct-2024 20:34 5286
perl-anyevent-future-doc-0.05-r0.apk 25-Oct-2024 20:34 5551
perl-anyevent-riperedis-0.48-r0.apk 25-Oct-2024 20:34 12K
perl-anyevent-riperedis-doc-0.48-r0.apk 25-Oct-2024 20:34 10K
perl-archive-extract-0.88-r1.apk 25-Oct-2024 20:34 16K
perl-archive-extract-doc-0.88-r1.apk 25-Oct-2024 20:34 6999
perl-autobox-3.0.2-r0.apk 25-Oct-2024 20:34 19K
perl-autobox-doc-3.0.2-r0.apk 25-Oct-2024 20:34 9060
perl-b-hooks-op-check-0.22-r0.apk 25-Oct-2024 20:34 6875
perl-b-hooks-op-check-doc-0.22-r0.apk 25-Oct-2024 20:34 3790
perl-barcode-zbar-0.10-r3.apk 25-Oct-2024 20:34 33K
perl-barcode-zbar-doc-0.10-r3.apk 25-Oct-2024 20:34 13K
perl-bareword-filehandles-0.007-r0.apk 25-Oct-2024 20:34 6286
perl-bareword-filehandles-doc-0.007-r0.apk 25-Oct-2024 20:34 3249
perl-bind-config-parser-0.01-r5.apk 25-Oct-2024 20:34 3967
perl-bind-config-parser-doc-0.01-r5.apk 25-Oct-2024 20:34 3712
perl-bsd-resource-1.2911-r10.apk 25-Oct-2024 20:34 19K
perl-bsd-resource-doc-1.2911-r10.apk 25-Oct-2024 20:34 8046
perl-bytes-random-secure-0.29-r0.apk 25-Oct-2024 20:34 14K
perl-bytes-random-secure-doc-0.29-r0.apk 25-Oct-2024 20:34 12K
perl-cache-lru-0.04-r0.apk 25-Oct-2024 20:34 3038
perl-cache-lru-doc-0.04-r0.apk 25-Oct-2024 20:34 3302
perl-cairo-1.109-r4.apk 25-Oct-2024 20:34 84K
perl-cairo-doc-1.109-r4.apk 25-Oct-2024 20:34 14K
perl-cairo-gobject-1.005-r4.apk 25-Oct-2024 20:34 6834
perl-cairo-gobject-doc-1.005-r4.apk 25-Oct-2024 20:34 3018
perl-cgi-expand-2.05-r4.apk 25-Oct-2024 20:34 7039
perl-cgi-expand-doc-2.05-r4.apk 25-Oct-2024 20:34 6310
perl-check-unitcheck-0.13-r1.apk 25-Oct-2024 20:34 6244
perl-check-unitcheck-doc-0.13-r1.apk 25-Oct-2024 20:34 3643
perl-class-accessor-grouped-0.10014-r2.apk 25-Oct-2024 20:34 12K
perl-class-accessor-grouped-doc-0.10014-r2.apk 25-Oct-2024 20:34 7661
perl-class-c3-0.35-r1.apk 25-Oct-2024 20:34 9690
perl-class-c3-componentised-1.001002-r2.apk 25-Oct-2024 20:34 5660
perl-class-c3-componentised-doc-1.001002-r2.apk 25-Oct-2024 20:34 5466
perl-class-c3-doc-0.35-r1.apk 25-Oct-2024 20:34 9509
perl-class-inner-0.200001-r5.apk 25-Oct-2024 20:34 4046
perl-class-inner-doc-0.200001-r5.apk 25-Oct-2024 20:34 4212
perl-color-ansi-util-0.165-r0.apk 25-Oct-2024 20:34 7457
perl-color-ansi-util-doc-0.165-r0.apk 25-Oct-2024 20:34 5288
perl-color-rgb-util-0.607-r0.apk 25-Oct-2024 20:34 9589
perl-color-rgb-util-doc-0.607-r0.apk 25-Oct-2024 20:34 7569
perl-conf-libconfig-1.0.3-r0.apk 25-Oct-2024 20:34 26K
perl-conf-libconfig-doc-1.0.3-r0.apk 25-Oct-2024 20:34 5574
perl-constant-defer-6-r5.apk 25-Oct-2024 20:34 7581
perl-constant-defer-doc-6-r5.apk 25-Oct-2024 20:34 7116
perl-constant-generate-0.17-r5.apk 25-Oct-2024 20:34 8963
perl-constant-generate-doc-0.17-r5.apk 25-Oct-2024 20:34 7217
perl-context-preserve-0.03-r4.apk 25-Oct-2024 20:34 3962
perl-context-preserve-doc-0.03-r4.apk 25-Oct-2024 20:34 4318
perl-cpan-changes-0.500004-r0.apk 25-Oct-2024 20:34 14K
perl-cpan-changes-doc-0.500004-r0.apk 25-Oct-2024 20:34 18K
perl-crypt-random-seed-0.03-r0.apk 25-Oct-2024 20:34 11K
perl-crypt-random-seed-doc-0.03-r0.apk 25-Oct-2024 20:34 9020
perl-crypt-saltedhash-0.09-r5.apk 25-Oct-2024 20:34 7117
perl-crypt-saltedhash-doc-0.09-r5.apk 25-Oct-2024 20:34 6522
perl-css-object-0.2.0-r0.apk 25-Oct-2024 20:34 23K
perl-css-object-doc-0.2.0-r0.apk 25-Oct-2024 20:34 33K
perl-daemon-control-0.001010-r2.apk 25-Oct-2024 20:34 12K
perl-daemon-control-doc-0.001010-r2.apk 25-Oct-2024 20:34 8458
perl-dancer-plugin-auth-extensible-1.00-r5.apk 25-Oct-2024 20:34 15K
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk 25-Oct-2024 20:34 15K
perl-dancer-plugin-dbic-0.2104-r5.apk 25-Oct-2024 20:34 5045
perl-dancer-plugin-dbic-doc-0.2104-r5.apk 25-Oct-2024 20:34 5485
perl-dancer-plugin-passphrase-2.0.1-r4.apk 25-Oct-2024 20:34 9935
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk 25-Oct-2024 20:34 8661
perl-dancer-session-cookie-0.30-r2.apk 25-Oct-2024 20:34 5585
perl-dancer-session-cookie-doc-0.30-r2.apk 25-Oct-2024 20:34 4281
perl-data-checks-0.10-r0.apk 25-Oct-2024 20:34 23K
perl-data-checks-doc-0.10-r0.apk 25-Oct-2024 20:34 8397
perl-data-validate-domain-0.15-r0.apk 25-Oct-2024 20:34 5985
perl-data-validate-domain-doc-0.15-r0.apk 25-Oct-2024 20:34 5607
perl-data-validate-ip-0.31-r1.apk 25-Oct-2024 20:34 9037
perl-data-validate-ip-doc-0.31-r1.apk 25-Oct-2024 20:34 5999
perl-database-async-0.019-r0.apk 25-Oct-2024 20:34 23K
perl-database-async-doc-0.019-r0.apk 25-Oct-2024 20:34 29K
perl-database-async-engine-postgresql-1.005-r0.apk 25-Oct-2024 20:34 14K
perl-database-async-engine-postgresql-doc-1.005..> 25-Oct-2024 20:34 9542
perl-datetime-format-atom-1.6.0-r0.apk 25-Oct-2024 20:34 3289
perl-datetime-format-atom-doc-1.6.0-r0.apk 25-Oct-2024 20:34 3910
perl-datetime-format-flexible-0.36-r0.apk 25-Oct-2024 20:34 18K
perl-datetime-format-flexible-doc-0.36-r0.apk 25-Oct-2024 20:34 12K
perl-datetime-format-rfc3339-1.8.0-r0.apk 25-Oct-2024 20:34 4561
perl-datetime-format-rfc3339-doc-1.8.0-r0.apk 25-Oct-2024 20:34 4293
perl-datetime-timezone-alias-0.06-r0.apk 25-Oct-2024 20:34 2599
perl-datetime-timezone-alias-doc-0.06-r0.apk 25-Oct-2024 20:34 7810
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk 25-Oct-2024 20:34 12K
perl-datetime-timezone-catalog-extend-doc-0.3.3..> 25-Oct-2024 20:34 15K
perl-dbicx-sugar-0.0200-r5.apk 25-Oct-2024 20:34 6033
perl-dbicx-sugar-doc-0.0200-r5.apk 25-Oct-2024 20:34 5464
perl-dbix-class-0.082843-r1.apk 25-Oct-2024 20:34 430K
perl-dbix-class-candy-0.005004-r0.apk 30-Oct-2024 05:59 8062
perl-dbix-class-candy-doc-0.005004-r0.apk 30-Oct-2024 05:59 9709
perl-dbix-class-doc-0.082843-r1.apk 25-Oct-2024 20:34 345K
perl-dbix-class-helpers-2.037000-r0.apk 17-Nov-2024 04:06 48K
perl-dbix-class-helpers-doc-2.037000-r0.apk 17-Nov-2024 04:06 121K
perl-dbix-datasource-0.02-r5.apk 25-Oct-2024 20:34 4403
perl-dbix-datasource-doc-0.02-r5.apk 25-Oct-2024 20:34 7718
perl-dbix-introspector-0.001005-r4.apk 25-Oct-2024 20:34 8246
perl-dbix-introspector-doc-0.001005-r4.apk 25-Oct-2024 20:34 8666
perl-devel-confess-0.009004-r0.apk 25-Oct-2024 20:34 11K
perl-devel-confess-doc-0.009004-r0.apk 25-Oct-2024 20:34 6812
perl-devel-leak-0.03-r13.apk 25-Oct-2024 20:34 7200
perl-devel-leak-doc-0.03-r13.apk 25-Oct-2024 20:34 3365
perl-devel-refcount-0.10-r1.apk 25-Oct-2024 20:34 6311
perl-devel-refcount-doc-0.10-r1.apk 25-Oct-2024 20:34 4347
perl-digest-bcrypt-1.212-r1.apk 25-Oct-2024 20:34 5711
perl-digest-bcrypt-doc-1.212-r1.apk 25-Oct-2024 20:34 5303
perl-digest-crc-0.24-r1.apk 25-Oct-2024 20:34 10K
perl-digest-crc-doc-0.24-r1.apk 25-Oct-2024 20:34 3258
perl-dns-unbound-0.29-r1.apk 25-Oct-2024 20:34 25K
perl-dns-unbound-anyevent-0.29-r1.apk 25-Oct-2024 20:34 2395
perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk 25-Oct-2024 20:34 1978
perl-dns-unbound-doc-0.29-r1.apk 25-Oct-2024 20:34 15K
perl-dns-unbound-ioasync-0.29-r1.apk 25-Oct-2024 20:34 2474
perl-dns-unbound-mojo-0.29-r1.apk 25-Oct-2024 20:34 2740
perl-email-abstract-3.010-r0.apk 25-Oct-2024 20:34 7833
perl-email-abstract-doc-3.010-r0.apk 25-Oct-2024 20:34 13K
perl-email-mime-attachment-stripper-1.317-r5.apk 25-Oct-2024 20:34 4064
perl-email-mime-attachment-stripper-doc-1.317-r..> 25-Oct-2024 20:34 3909
perl-email-reply-1.204-r5.apk 25-Oct-2024 20:34 6287
perl-email-reply-doc-1.204-r5.apk 25-Oct-2024 20:34 4921
perl-ev-hiredis-0.07-r1.apk 25-Oct-2024 20:34 13K
perl-ev-hiredis-doc-0.07-r1.apk 25-Oct-2024 20:34 4271
perl-extutils-makemaker-7.70-r2.apk 25-Oct-2024 20:34 175K
perl-extutils-xsbuilder-0.28-r5.apk 25-Oct-2024 20:34 43K
perl-extutils-xsbuilder-doc-0.28-r5.apk 25-Oct-2024 20:34 21K
perl-feed-find-0.13-r0.apk 25-Oct-2024 20:34 3952
perl-feed-find-doc-0.13-r0.apk 25-Oct-2024 20:34 3833
perl-ffi-c-0.15-r0.apk 25-Oct-2024 20:34 20K
perl-ffi-c-doc-0.15-r0.apk 25-Oct-2024 20:34 29K
perl-ffi-platypus-2.09-r0.apk 25-Oct-2024 20:34 191K
perl-ffi-platypus-doc-2.09-r0.apk 25-Oct-2024 20:34 147K
perl-ffi-platypus-type-enum-0.06-r0.apk 25-Oct-2024 20:34 5335
perl-ffi-platypus-type-enum-doc-0.06-r0.apk 25-Oct-2024 20:34 5287
perl-file-mmagic-xs-0.09008-r4.apk 25-Oct-2024 20:34 31K
perl-file-mmagic-xs-doc-0.09008-r4.apk 25-Oct-2024 20:34 4302
perl-file-rename-2.02-r0.apk 25-Oct-2024 20:34 7723
perl-file-rename-doc-2.02-r0.apk 25-Oct-2024 20:34 12K
perl-flowd-0.9.1-r10.apk 25-Oct-2024 20:34 22K
perl-flowd-doc-0.9.1-r10.apk 25-Oct-2024 20:34 3282
perl-freezethaw-0.5001-r2.apk 25-Oct-2024 20:34 10K
perl-freezethaw-doc-0.5001-r2.apk 25-Oct-2024 20:34 5798
perl-full-1.004-r0.apk 25-Oct-2024 20:34 7288
perl-full-doc-1.004-r0.apk 25-Oct-2024 20:34 10K
perl-future-asyncawait-hooks-0.02-r0.apk 25-Oct-2024 20:34 8598
perl-future-asyncawait-hooks-doc-0.02-r0.apk 25-Oct-2024 20:34 3319
perl-future-http-0.17-r0.apk 25-Oct-2024 20:34 9460
perl-future-http-doc-0.17-r0.apk 25-Oct-2024 20:34 16K
perl-future-q-0.120-r0.apk 25-Oct-2024 20:34 9837
perl-future-q-doc-0.120-r0.apk 25-Oct-2024 20:34 9336
perl-future-queue-0.52-r0.apk 25-Oct-2024 20:34 4190
perl-future-queue-doc-0.52-r0.apk 25-Oct-2024 20:34 4377
perl-gearman-2.004.015-r3.apk 25-Oct-2024 20:34 27K
perl-gearman-doc-2.004.015-r3.apk 25-Oct-2024 20:34 20K
perl-getopt-long-descriptive-0.115-r0.apk 11-Nov-2024 14:10 15K
perl-getopt-long-descriptive-doc-0.115-r0.apk 11-Nov-2024 14:10 11K
perl-getopt-tabular-0.3-r4.apk 25-Oct-2024 20:34 23K
perl-getopt-tabular-doc-0.3-r4.apk 25-Oct-2024 20:34 17K
perl-git-raw-0.90-r1.apk 25-Oct-2024 20:34 185K
perl-git-raw-doc-0.90-r1.apk 25-Oct-2024 20:34 119K
perl-git-repository-1.325-r0.apk 25-Oct-2024 20:34 16K
perl-git-repository-doc-1.325-r0.apk 25-Oct-2024 20:34 32K
perl-git-version-compare-1.005-r0.apk 25-Oct-2024 20:34 5534
perl-git-version-compare-doc-1.005-r0.apk 25-Oct-2024 20:34 4982
perl-glib-ex-objectbits-17-r0.apk 25-Oct-2024 20:34 15K
perl-glib-ex-objectbits-doc-17-r0.apk 25-Oct-2024 20:34 22K
perl-glib-object-introspection-0.051-r1.apk 25-Oct-2024 20:34 63K
perl-glib-object-introspection-doc-0.051-r1.apk 25-Oct-2024 20:34 11K
perl-graphql-client-0.605-r0.apk 25-Oct-2024 20:34 7324
perl-graphql-client-cli-0.605-r0.apk 25-Oct-2024 20:34 8011
perl-graphql-client-doc-0.605-r0.apk 25-Oct-2024 20:34 14K
perl-gtk2-1.24993-r6.apk 25-Oct-2024 20:34 960K
perl-gtk2-doc-1.24993-r6.apk 25-Oct-2024 20:34 670K
perl-gtk2-ex-listmodelconcat-11-r4.apk 25-Oct-2024 20:34 13K
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk 25-Oct-2024 20:34 7468
perl-gtk2-ex-widgetbits-48-r3.apk 25-Oct-2024 20:34 66K
perl-gtk2-ex-widgetbits-doc-48-r3.apk 25-Oct-2024 20:34 81K
perl-gtk3-0.038-r1.apk 25-Oct-2024 20:34 20K
perl-gtk3-doc-0.038-r1.apk 25-Oct-2024 20:34 9379
perl-guard-1.023-r9.apk 25-Oct-2024 20:34 8886
perl-guard-doc-1.023-r9.apk 25-Oct-2024 20:34 5394
perl-hash-ordered-0.014-r0.apk 25-Oct-2024 20:34 10K
perl-hash-ordered-doc-0.014-r0.apk 25-Oct-2024 20:34 19K
perl-html-object-0.5.1-r0.apk 25-Oct-2024 20:34 348K
perl-html-object-doc-0.5.1-r0.apk 25-Oct-2024 20:34 472K
perl-html-selector-xpath-0.28-r0.apk 25-Oct-2024 20:34 6366
perl-html-selector-xpath-doc-0.28-r0.apk 25-Oct-2024 20:34 4171
perl-html-tableextract-2.15-r4.apk 25-Oct-2024 20:34 18K
perl-html-tableextract-doc-2.15-r4.apk 25-Oct-2024 20:34 10K
perl-http-thin-0.006-r0.apk 25-Oct-2024 20:34 3158
perl-http-thin-doc-0.006-r0.apk 25-Oct-2024 20:34 3534
perl-http-xsheaders-0.400005-r1.apk 25-Oct-2024 20:34 21K
perl-http-xsheaders-doc-0.400005-r1.apk 25-Oct-2024 20:34 6465
perl-i18n-langinfo-wide-9-r4.apk 25-Oct-2024 20:34 4317
perl-i18n-langinfo-wide-doc-9-r4.apk 25-Oct-2024 20:34 4183
perl-indirect-0.39-r1.apk 25-Oct-2024 20:34 16K
perl-indirect-doc-0.39-r1.apk 25-Oct-2024 20:34 6507
perl-io-lambda-1.34-r0.apk 25-Oct-2024 20:34 75K
perl-io-lambda-doc-1.34-r0.apk 25-Oct-2024 20:34 68K
perl-io-sessiondata-1.03-r3.apk 25-Oct-2024 20:34 5893
perl-json-maybeutf8-2.000-r0.apk 25-Oct-2024 20:34 3207
perl-json-maybeutf8-doc-2.000-r0.apk 25-Oct-2024 20:34 3645
perl-json-path-1.0.6-r0.apk 25-Oct-2024 20:34 16K
perl-json-path-doc-1.0.6-r0.apk 25-Oct-2024 20:34 13K
perl-json-validator-5.14-r0.apk 25-Oct-2024 20:34 59K
perl-json-validator-doc-5.14-r0.apk 25-Oct-2024 20:34 33K
perl-lib-abs-0.95-r0.apk 25-Oct-2024 20:34 3952
perl-lib-abs-doc-0.95-r0.apk 25-Oct-2024 20:34 4049
perl-libapreq2-2.17-r2.apk 25-Oct-2024 20:34 105K
perl-libapreq2-dev-2.17-r2.apk 25-Oct-2024 20:34 57K
perl-libapreq2-doc-2.17-r2.apk 25-Oct-2024 20:34 37K
perl-libintl-perl-1.33-r1.apk 25-Oct-2024 20:34 316K
perl-libintl-perl-doc-1.33-r1.apk 25-Oct-2024 20:34 567K
perl-linux-pid-0.04-r13.apk 25-Oct-2024 20:34 4904
perl-linux-pid-doc-0.04-r13.apk 25-Oct-2024 20:34 3071
perl-list-binarysearch-0.25-r0.apk 25-Oct-2024 20:34 10K
perl-list-binarysearch-doc-0.25-r0.apk 25-Oct-2024 20:34 12K
perl-list-binarysearch-xs-0.09-r1.apk 25-Oct-2024 20:34 13K
perl-list-binarysearch-xs-doc-0.09-r1.apk 25-Oct-2024 20:34 8262
perl-list-keywords-0.11-r0.apk 25-Oct-2024 20:34 16K
perl-list-keywords-doc-0.11-r0.apk 25-Oct-2024 20:34 5647
perl-log-fu-0.31-r4.apk 25-Oct-2024 20:34 11K
perl-log-fu-doc-0.31-r4.apk 25-Oct-2024 20:34 7437
perl-log-message-0.08-r3.apk 25-Oct-2024 20:34 11K
perl-log-message-doc-0.08-r3.apk 25-Oct-2024 20:34 12K
perl-log-message-simple-0.10-r3.apk 25-Oct-2024 20:34 4315
perl-log-message-simple-doc-0.10-r3.apk 25-Oct-2024 20:34 4048
perl-lv-0.006-r0.apk 25-Oct-2024 20:34 4175
perl-lv-backend-magic-0.006-r0.apk 25-Oct-2024 20:34 2163
perl-lv-backend-sentinel-0.006-r0.apk 25-Oct-2024 20:34 2037
perl-lv-doc-0.006-r0.apk 25-Oct-2024 20:34 4157
perl-lwp-online-1.08-r0.apk 25-Oct-2024 20:34 6302
perl-lwp-online-doc-1.08-r0.apk 25-Oct-2024 20:34 5830
perl-lwp-useragent-cached-0.08-r1.apk 25-Oct-2024 20:34 6544
perl-lwp-useragent-cached-doc-0.08-r1.apk 25-Oct-2024 20:34 5792
perl-mastodon-client-0.017-r0.apk 25-Oct-2024 20:34 22K
perl-mastodon-client-doc-0.017-r0.apk 25-Oct-2024 20:34 33K
perl-math-int64-0.57-r1.apk 25-Oct-2024 20:34 28K
perl-math-int64-doc-0.57-r1.apk 25-Oct-2024 20:34 10K
perl-math-libm-1.00-r14.apk 25-Oct-2024 20:34 11K
perl-math-libm-doc-1.00-r14.apk 25-Oct-2024 20:34 3215
perl-math-random-0.72-r0.apk 25-Oct-2024 20:34 37K
perl-math-random-doc-0.72-r0.apk 25-Oct-2024 20:34 11K
perl-math-random-isaac-xs-1.004-r8.apk 25-Oct-2024 20:34 8238
perl-math-random-isaac-xs-doc-1.004-r8.apk 25-Oct-2024 20:34 3855
perl-mce-1.900-r0.apk 25-Oct-2024 20:34 136K
perl-mce-doc-1.900-r0.apk 25-Oct-2024 20:34 171K
perl-minion-10.31-r0.apk 25-Oct-2024 20:34 2M
perl-minion-backend-pg-10.31-r0.apk 25-Oct-2024 20:34 9860
perl-minion-backend-redis-0.003-r0.apk 25-Oct-2024 20:34 11K
perl-minion-backend-redis-doc-0.003-r0.apk 25-Oct-2024 20:34 6737
perl-minion-backend-sqlite-5.0.7-r0.apk 25-Oct-2024 20:34 10K
perl-minion-backend-sqlite-doc-5.0.7-r0.apk 25-Oct-2024 20:34 6941
perl-minion-doc-10.31-r0.apk 25-Oct-2024 20:34 49K
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk 25-Oct-2024 20:34 3523
perl-module-build-prereqs-fromcpanfile-doc-0.02..> 25-Oct-2024 20:34 3867
perl-module-generic-0.37.7-r0.apk 01-Nov-2024 19:39 253K
perl-module-generic-doc-0.37.7-r0.apk 01-Nov-2024 19:39 201K
perl-mojo-reactor-ioasync-1.002-r0.apk 25-Oct-2024 20:34 4850
perl-mojo-reactor-ioasync-doc-1.002-r0.apk 25-Oct-2024 20:34 4488
perl-mojo-redis-3.29-r0.apk 25-Oct-2024 20:34 25K
perl-mojo-redis-doc-3.29-r0.apk 25-Oct-2024 20:34 25K
perl-mojo-sqlite-3.009-r0.apk 25-Oct-2024 20:34 16K
perl-mojo-sqlite-doc-3.009-r0.apk 25-Oct-2024 20:34 19K
perl-mojolicious-plugin-openapi-5.09-r0.apk 25-Oct-2024 20:34 29K
perl-mojolicious-plugin-openapi-doc-5.09-r0.apk 25-Oct-2024 20:34 34K
perl-multidimensional-0.014-r0.apk 25-Oct-2024 20:34 4993
perl-multidimensional-doc-0.014-r0.apk 25-Oct-2024 20:34 3175
perl-musicbrainz-discid-0.06-r1.apk 25-Oct-2024 20:34 10K
perl-musicbrainz-discid-doc-0.06-r1.apk 25-Oct-2024 20:34 4388
perl-net-address-ip-local-0.1.2-r0.apk 25-Oct-2024 20:34 3532
perl-net-address-ip-local-doc-0.1.2-r0.apk 25-Oct-2024 20:34 3583
perl-net-amqp-rabbitmq-2.40012-r0.apk 25-Oct-2024 20:34 82K
perl-net-amqp-rabbitmq-doc-2.40012-r0.apk 25-Oct-2024 20:34 9920
perl-net-async-redis-6.005-r0.apk 09-Nov-2024 15:54 58K
perl-net-async-redis-doc-6.005-r0.apk 09-Nov-2024 15:54 61K
perl-net-async-redis-xs-1.001-r1.apk 25-Oct-2024 20:34 9878
perl-net-async-redis-xs-doc-1.001-r1.apk 25-Oct-2024 20:34 5460
perl-net-curl-0.56-r1.apk 25-Oct-2024 20:34 65K
perl-net-curl-doc-0.56-r1.apk 25-Oct-2024 20:34 39K
perl-net-curl-promiser-0.20-r0.apk 25-Oct-2024 20:34 9085
perl-net-curl-promiser-anyevent-0.20-r0.apk 25-Oct-2024 20:34 2790
perl-net-curl-promiser-doc-0.20-r0.apk 25-Oct-2024 20:34 12K
perl-net-curl-promiser-ioasync-0.20-r0.apk 25-Oct-2024 20:34 3049
perl-net-curl-promiser-mojo-0.20-r0.apk 25-Oct-2024 20:34 3191
perl-net-idn-encode-2.500-r1.apk 25-Oct-2024 20:34 84K
perl-net-idn-encode-doc-2.500-r1.apk 25-Oct-2024 20:34 22K
perl-net-irr-0.10-r0.apk 25-Oct-2024 20:34 5597
perl-net-irr-doc-0.10-r0.apk 25-Oct-2024 20:34 5289
perl-net-jabber-2.0-r0.apk 25-Oct-2024 20:34 51K
perl-net-jabber-bot-2.1.7-r0.apk 25-Oct-2024 20:34 13K
perl-net-jabber-bot-doc-2.1.7-r0.apk 25-Oct-2024 20:34 7767
perl-net-jabber-doc-2.0-r0.apk 25-Oct-2024 20:34 48K
perl-net-netmask-2.0002-r2.apk 25-Oct-2024 20:34 14K
perl-net-netmask-doc-2.0002-r2.apk 25-Oct-2024 20:34 8750
perl-net-patricia-1.22-r12.apk 25-Oct-2024 20:34 21K
perl-net-patricia-doc-1.22-r12.apk 25-Oct-2024 20:34 6190
perl-net-xmpp-1.05-r0.apk 25-Oct-2024 20:34 58K
perl-net-xmpp-doc-1.05-r0.apk 25-Oct-2024 20:34 44K
perl-netaddr-mac-0.98-r1.apk 25-Oct-2024 20:34 11K
perl-netaddr-mac-doc-0.98-r1.apk 25-Oct-2024 20:34 8187
perl-nice-try-1.3.15-r0.apk 07-Nov-2024 02:17 28K
perl-nice-try-doc-1.3.15-r0.apk 07-Nov-2024 02:17 12K
perl-number-format-1.76-r1.apk 25-Oct-2024 20:34 15K
perl-number-format-doc-1.76-r1.apk 25-Oct-2024 20:34 9226
perl-number-misc-1.2-r5.apk 25-Oct-2024 20:34 5321
perl-number-misc-doc-1.2-r5.apk 25-Oct-2024 20:34 4498
perl-number-tolerant-1.710-r0.apk 25-Oct-2024 20:34 15K
perl-number-tolerant-doc-1.710-r0.apk 25-Oct-2024 20:34 26K
perl-object-array-0.060-r0.apk 25-Oct-2024 20:34 5826
perl-object-array-doc-0.060-r0.apk 25-Oct-2024 20:34 7130
perl-object-pad-fieldattr-checked-0.12-r0.apk 25-Oct-2024 20:34 8719
perl-object-pad-fieldattr-checked-doc-0.12-r0.apk 25-Oct-2024 20:34 4570
perl-openapi-client-1.07-r0.apk 25-Oct-2024 20:34 8858
perl-openapi-client-doc-1.07-r0.apk 25-Oct-2024 20:34 7538
perl-opentracing-1.006-r0.apk 25-Oct-2024 20:34 18K
perl-opentracing-doc-1.006-r0.apk 25-Oct-2024 20:34 33K
perl-pango-1.227-r11.apk 25-Oct-2024 20:34 88K
perl-pango-doc-1.227-r11.apk 25-Oct-2024 20:34 81K
perl-path-iter-0.2-r3.apk 25-Oct-2024 20:34 5347
perl-path-iter-doc-0.2-r3.apk 25-Oct-2024 20:34 5282
perl-perlio-locale-0.10-r12.apk 25-Oct-2024 20:34 4679
perl-perlio-locale-doc-0.10-r12.apk 25-Oct-2024 20:34 3118
perl-plack-middleware-expires-0.06-r3.apk 25-Oct-2024 20:34 3970
perl-plack-middleware-expires-doc-0.06-r3.apk 25-Oct-2024 20:34 3429
perl-plack-middleware-reverseproxy-0.16-r2.apk 25-Oct-2024 20:34 3239
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk 25-Oct-2024 20:34 3124
perl-pod-cpandoc-0.16-r6.apk 25-Oct-2024 20:34 4684
perl-pod-cpandoc-doc-0.16-r6.apk 25-Oct-2024 20:34 5014
perl-pod-tidy-0.10-r1.apk 25-Oct-2024 20:34 10K
perl-pod-tidy-doc-0.10-r1.apk 25-Oct-2024 20:34 11K
perl-ppi-xs-0.910-r1.apk 25-Oct-2024 20:34 5944
perl-ppi-xs-doc-0.910-r1.apk 25-Oct-2024 20:34 3450
perl-proc-guard-0.07-r4.apk 25-Oct-2024 20:34 3782
perl-proc-guard-doc-0.07-r4.apk 25-Oct-2024 20:34 3629
perl-promise-es6-0.28-r0.apk 25-Oct-2024 20:34 11K
perl-promise-es6-anyevent-0.28-r0.apk 25-Oct-2024 20:34 2573
perl-promise-es6-doc-0.28-r0.apk 25-Oct-2024 20:34 12K
perl-promise-es6-future-0.28-r0.apk 25-Oct-2024 20:34 2359
perl-promise-es6-io-async-0.28-r0.apk 25-Oct-2024 20:34 3039
perl-promise-es6-mojo-ioloop-0.28-r0.apk 25-Oct-2024 20:34 2650
perl-promise-me-0.5.0-r0.apk 25-Oct-2024 20:34 26K
perl-promise-me-doc-0.5.0-r0.apk 25-Oct-2024 20:34 12K
perl-promise-xs-0.20-r1.apk 25-Oct-2024 20:34 25K
perl-promise-xs-doc-0.20-r1.apk 25-Oct-2024 20:34 8873
perl-protocol-database-postgresql-2.001-r0.apk 25-Oct-2024 20:34 19K
perl-protocol-database-postgresql-doc-2.001-r0.apk 25-Oct-2024 20:34 38K
perl-protocol-redis-1.0021-r0.apk 25-Oct-2024 20:34 5746
perl-protocol-redis-doc-1.0021-r0.apk 25-Oct-2024 20:34 5162
perl-protocol-redis-faster-0.003-r0.apk 25-Oct-2024 20:34 3516
perl-protocol-redis-faster-doc-0.003-r0.apk 25-Oct-2024 20:34 3410
perl-ref-util-xs-0.117-r8.apk 25-Oct-2024 20:34 9979
perl-ref-util-xs-doc-0.117-r8.apk 25-Oct-2024 20:34 3481
perl-regexp-grammars-1.058-r0.apk 25-Oct-2024 20:34 66K
perl-regexp-grammars-doc-1.058-r0.apk 25-Oct-2024 20:34 48K
perl-role-eventemitter-0.003-r0.apk 25-Oct-2024 20:34 3767
perl-role-eventemitter-doc-0.003-r0.apk 25-Oct-2024 20:34 4052
perl-rxperl-6.29.8-r0.apk 25-Oct-2024 20:34 26K
perl-rxperl-anyevent-6.8.1-r0.apk 25-Oct-2024 20:34 2800
perl-rxperl-anyevent-doc-6.8.1-r0.apk 25-Oct-2024 20:34 9183
perl-rxperl-doc-6.29.8-r0.apk 25-Oct-2024 20:34 23K
perl-rxperl-ioasync-6.9.1-r0.apk 25-Oct-2024 20:34 2899
perl-rxperl-ioasync-doc-6.9.1-r0.apk 25-Oct-2024 20:34 9226
perl-rxperl-mojo-6.8.2-r0.apk 25-Oct-2024 20:34 2911
perl-rxperl-mojo-doc-6.8.2-r0.apk 25-Oct-2024 20:34 9277
perl-ryu-4.001-r0.apk 25-Oct-2024 20:34 26K
perl-ryu-async-0.020-r0.apk 25-Oct-2024 20:34 7693
perl-ryu-async-doc-0.020-r0.apk 25-Oct-2024 20:34 12K
perl-ryu-doc-4.001-r0.apk 25-Oct-2024 20:34 35K
perl-scalar-readonly-0.03-r1.apk 25-Oct-2024 20:34 5508
perl-scalar-readonly-doc-0.03-r1.apk 25-Oct-2024 20:34 3457
perl-sentinel-0.07-r1.apk 25-Oct-2024 20:34 7568
perl-sentinel-doc-0.07-r1.apk 25-Oct-2024 20:34 4283
perl-session-storage-secure-1.000-r2.apk 25-Oct-2024 20:34 9043
perl-session-storage-secure-doc-1.000-r2.apk 25-Oct-2024 20:34 7587
perl-signature-attribute-checked-0.06-r0.apk 25-Oct-2024 20:34 7983
perl-signature-attribute-checked-doc-0.06-r0.apk 25-Oct-2024 20:34 4680
perl-snmp-5.0404-r13.apk 25-Oct-2024 20:34 73K
perl-snmp-doc-5.0404-r13.apk 25-Oct-2024 20:34 14K
perl-snmp-info-3.972002-r0.apk 30-Oct-2024 05:59 333K
perl-snmp-info-doc-3.972002-r0.apk 30-Oct-2024 05:59 379K
perl-soap-lite-1.27-r5.apk 25-Oct-2024 20:34 110K
perl-soap-lite-doc-1.27-r5.apk 25-Oct-2024 20:34 90K
perl-sort-naturally-1.03-r4.apk 25-Oct-2024 20:34 8880
perl-sort-naturally-doc-1.03-r4.apk 25-Oct-2024 20:34 5596
perl-sort-versions-1.62-r0.apk 25-Oct-2024 20:34 3834
perl-sort-versions-doc-1.62-r0.apk 25-Oct-2024 20:34 4251
perl-sql-abstract-classic-1.91-r1.apk 25-Oct-2024 20:34 30K
perl-sql-abstract-classic-doc-1.91-r1.apk 25-Oct-2024 20:34 20K
perl-starman-0.4017-r0.apk 25-Oct-2024 20:34 13K
perl-starman-doc-0.4017-r0.apk 25-Oct-2024 20:34 10K
perl-statistics-basic-1.6611-r0.apk 25-Oct-2024 20:34 9434
perl-statistics-basic-doc-1.6611-r0.apk 25-Oct-2024 20:34 50K
perl-statistics-descriptive-3.0801-r0.apk 25-Oct-2024 20:34 30K
perl-statistics-descriptive-doc-3.0801-r0.apk 25-Oct-2024 20:34 38K
perl-storable-improved-0.1.3-r0.apk 25-Oct-2024 20:34 6867
perl-storable-improved-doc-0.1.3-r0.apk 25-Oct-2024 20:34 7079
perl-string-camelcase-0.04-r2.apk 25-Oct-2024 20:34 3266
perl-string-camelcase-doc-0.04-r2.apk 25-Oct-2024 20:34 3548
perl-string-compare-constanttime-0.321-r6.apk 25-Oct-2024 20:34 7509
perl-string-compare-constanttime-doc-0.321-r6.apk 25-Oct-2024 20:34 5344
perl-string-crc32-2.100-r4.apk 25-Oct-2024 20:34 7406
perl-string-crc32-doc-2.100-r4.apk 25-Oct-2024 20:34 3449
perl-string-random-0.32-r2.apk 25-Oct-2024 20:34 8102
perl-string-random-doc-0.32-r2.apk 25-Oct-2024 20:34 6346
perl-syntax-keyword-match-0.15-r0.apk 25-Oct-2024 20:34 14K
perl-syntax-keyword-match-doc-0.15-r0.apk 25-Oct-2024 20:34 7948
perl-syntax-operator-equ-0.10-r0.apk 25-Oct-2024 20:34 8241
perl-syntax-operator-equ-doc-0.10-r0.apk 25-Oct-2024 20:34 6677
perl-syntax-operator-in-0.10-r0.apk 25-Oct-2024 20:34 10K
perl-syntax-operator-in-doc-0.10-r0.apk 25-Oct-2024 20:34 5980
perl-sys-syscall-0.25-r10.apk 25-Oct-2024 20:34 5476
perl-sys-syscall-doc-0.25-r10.apk 25-Oct-2024 20:34 3779
perl-sys-virt-10.6.0-r0.apk 25-Oct-2024 20:34 217K
perl-sys-virt-doc-10.6.0-r0.apk 25-Oct-2024 20:34 99K
perl-system-command-1.122-r0.apk 25-Oct-2024 20:34 12K
perl-system-command-doc-1.122-r0.apk 25-Oct-2024 20:34 10K
perl-template-plugin-csv-0.04-r3.apk 25-Oct-2024 20:34 2782
perl-template-plugin-csv-doc-0.04-r3.apk 25-Oct-2024 20:34 3110
perl-template-plugin-number-format-1.06-r4.apk 25-Oct-2024 20:34 5026
perl-template-plugin-number-format-doc-1.06-r4.apk 25-Oct-2024 20:34 4506
perl-term-size-0.211-r4.apk 25-Oct-2024 20:34 5956
perl-term-size-doc-0.211-r4.apk 25-Oct-2024 20:34 3829
perl-term-ui-0.50-r1.apk 25-Oct-2024 20:34 10K
perl-term-ui-doc-0.50-r1.apk 25-Oct-2024 20:34 8703
perl-test-api-0.010-r2.apk 25-Oct-2024 20:34 5215
perl-test-api-doc-0.010-r2.apk 25-Oct-2024 20:34 4360
perl-test-class-tiny-0.03-r0.apk 25-Oct-2024 20:34 6017
perl-test-class-tiny-doc-0.03-r0.apk 25-Oct-2024 20:34 5570
perl-test-describeme-0.004-r0.apk 25-Oct-2024 20:34 3674
perl-test-describeme-doc-0.004-r0.apk 25-Oct-2024 20:34 4286
perl-test-distribution-2.00-r1.apk 25-Oct-2024 20:34 7941
perl-test-distribution-doc-2.00-r1.apk 25-Oct-2024 20:34 6231
perl-test-expander-2.5.1-r0.apk 25-Oct-2024 20:34 7283
perl-test-expander-doc-2.5.1-r0.apk 25-Oct-2024 20:34 20K
perl-test-file-1.993-r1.apk 25-Oct-2024 20:34 12K
perl-test-file-doc-1.993-r1.apk 25-Oct-2024 20:34 6952
perl-test-files-0.26-r0.apk 25-Oct-2024 20:34 6893
perl-test-files-doc-0.26-r0.apk 25-Oct-2024 20:34 15K
perl-test-lwp-useragent-0.036-r0.apk 25-Oct-2024 20:34 10K
perl-test-lwp-useragent-doc-0.036-r0.apk 25-Oct-2024 20:34 8550
perl-test-memorygrowth-0.05-r0.apk 25-Oct-2024 20:34 6573
perl-test-memorygrowth-doc-0.05-r0.apk 25-Oct-2024 20:34 5414
perl-test-modern-0.013-r3.apk 25-Oct-2024 20:34 15K
perl-test-modern-doc-0.013-r3.apk 25-Oct-2024 20:34 10K
perl-test-randomresult-0.001-r0.apk 25-Oct-2024 20:34 3609
perl-test-randomresult-doc-0.001-r0.apk 25-Oct-2024 20:34 3766
perl-test-redisserver-0.23-r0.apk 25-Oct-2024 20:34 5095
perl-test-redisserver-doc-0.23-r0.apk 25-Oct-2024 20:34 4177
perl-test-requires-git-1.008-r0.apk 25-Oct-2024 20:34 4902
perl-test-requires-git-doc-1.008-r0.apk 25-Oct-2024 20:34 4512
perl-test-roo-1.004-r3.apk 25-Oct-2024 20:34 12K
perl-test-roo-doc-1.004-r3.apk 25-Oct-2024 20:34 15K
perl-test-settings-0.003-r0.apk 25-Oct-2024 20:34 5070
perl-test-settings-doc-0.003-r0.apk 25-Oct-2024 20:34 6191
perl-test-timer-2.12-r2.apk 25-Oct-2024 20:34 9103
perl-test-timer-doc-2.12-r2.apk 25-Oct-2024 20:34 8592
perl-test-toolbox-0.4-r5.apk 25-Oct-2024 20:34 10K
perl-test-toolbox-doc-0.4-r5.apk 25-Oct-2024 20:34 6325
perl-test-trap-0.3.5-r1.apk 25-Oct-2024 20:34 20K
perl-test-trap-doc-0.3.5-r1.apk 25-Oct-2024 20:34 20K
perl-test-unit-0.27-r0.apk 25-Oct-2024 20:34 37K
perl-test-unit-doc-0.27-r0.apk 25-Oct-2024 20:34 48K
perl-test-useallmodules-0.17-r1.apk 25-Oct-2024 20:34 3913
perl-test-useallmodules-doc-0.17-r1.apk 25-Oct-2024 20:34 3960
perl-test-utf8-1.03-r0.apk 16-Nov-2024 15:08 5706
perl-test-utf8-doc-1.03-r0.apk 16-Nov-2024 15:08 4977
perl-test2-tools-explain-0.02-r0.apk 25-Oct-2024 20:34 3905
perl-test2-tools-explain-doc-0.02-r0.apk 25-Oct-2024 20:34 4546
perl-text-brew-0.02-r5.apk 25-Oct-2024 20:34 4645
perl-text-brew-doc-0.02-r5.apk 25-Oct-2024 20:34 4240
perl-text-table-any-0.117-r0.apk 25-Oct-2024 20:34 8266
perl-text-table-any-doc-0.117-r0.apk 25-Oct-2024 20:34 6814
perl-text-table-sprintf-0.008-r0.apk 25-Oct-2024 20:34 5487
perl-text-table-sprintf-doc-0.008-r0.apk 25-Oct-2024 20:34 5350
perl-throwable-1.001-r1.apk 25-Oct-2024 20:34 6367
perl-throwable-doc-1.001-r1.apk 25-Oct-2024 20:34 8197
perl-tickit-widget-choice-0.07-r0.apk 25-Oct-2024 20:34 4023
perl-tickit-widget-choice-doc-0.07-r0.apk 25-Oct-2024 20:34 3495
perl-tickit-widget-entry-plugin-completion-0.02..> 25-Oct-2024 20:34 4742
perl-tickit-widget-entry-plugin-completion-doc-..> 25-Oct-2024 20:34 3943
perl-tickit-widget-floatbox-0.11-r0.apk 25-Oct-2024 20:34 4807
perl-tickit-widget-floatbox-doc-0.11-r0.apk 25-Oct-2024 20:34 4254
perl-tickit-widget-menu-0.16-r0.apk 25-Oct-2024 20:34 7359
perl-tickit-widget-menu-doc-0.16-r0.apk 25-Oct-2024 20:34 7082
perl-tickit-widget-scrollbox-0.12-r0.apk 25-Oct-2024 20:34 8200
perl-tickit-widget-scrollbox-doc-0.12-r0.apk 25-Oct-2024 20:34 6701
perl-time-moment-0.44-r0.apk 25-Oct-2024 20:34 45K
perl-time-moment-doc-0.44-r0.apk 25-Oct-2024 20:34 31K
perl-time-moment-role-strptime-0.001-r0.apk 25-Oct-2024 20:34 2814
perl-time-moment-role-strptime-doc-0.001-r0.apk 25-Oct-2024 20:34 3444
perl-time-moment-role-timezone-1.000-r0.apk 25-Oct-2024 20:34 3646
perl-time-moment-role-timezone-doc-1.000-r0.apk 25-Oct-2024 20:34 4077
perl-time-timegm-0.01-r9.apk 25-Oct-2024 20:34 6959
perl-time-timegm-doc-0.01-r9.apk 25-Oct-2024 20:34 3898
perl-types-path-tiny-0.006-r0.apk 25-Oct-2024 20:34 4050
perl-types-path-tiny-doc-0.006-r0.apk 25-Oct-2024 20:34 4193
perl-uri-db-0.22-r0.apk 25-Oct-2024 20:34 12K
perl-uri-db-doc-0.22-r0.apk 25-Oct-2024 20:34 8632
perl-uri-fetch-0.15-r0.apk 25-Oct-2024 20:34 7229
perl-uri-fetch-doc-0.15-r0.apk 25-Oct-2024 20:34 7701
perl-uri-nested-0.10-r0.apk 25-Oct-2024 20:34 4103
perl-uri-nested-doc-0.10-r0.apk 25-Oct-2024 20:34 3995
perl-uri-redis-0.02-r0.apk 25-Oct-2024 20:34 3265
perl-uri-redis-doc-0.02-r0.apk 25-Oct-2024 20:34 4680
perl-uri-tcp-2.0.0-r0.apk 25-Oct-2024 20:34 2774
perl-uri-tcp-doc-2.0.0-r0.apk 25-Oct-2024 20:34 5074
perl-url-encode-0.03-r4.apk 25-Oct-2024 20:34 5280
perl-url-encode-doc-0.03-r4.apk 25-Oct-2024 20:34 4817
perl-variable-disposition-0.005-r0.apk 25-Oct-2024 20:34 3349
perl-variable-disposition-doc-0.005-r0.apk 25-Oct-2024 20:34 5788
perl-x-tiny-0.22-r0.apk 25-Oct-2024 20:34 7036
perl-x-tiny-doc-0.22-r0.apk 25-Oct-2024 20:34 7775
perl-xml-atom-0.43-r0.apk 25-Oct-2024 20:34 20K
perl-xml-atom-doc-0.43-r0.apk 25-Oct-2024 20:34 16K
perl-xml-bare-0.53-r13.apk 25-Oct-2024 20:34 28K
perl-xml-bare-doc-0.53-r13.apk 25-Oct-2024 20:34 11K
perl-xml-feed-0.65-r0.apk 25-Oct-2024 20:34 14K
perl-xml-feed-doc-0.65-r0.apk 25-Oct-2024 20:34 12K
perl-xml-parser-style-easytree-0.09-r0.apk 25-Oct-2024 20:34 5092
perl-xml-parser-style-easytree-doc-0.09-r0.apk 25-Oct-2024 20:34 5547
perl-xml-rpc-2.1-r0.apk 25-Oct-2024 20:34 5871
perl-xml-rpc-doc-2.1-r0.apk 25-Oct-2024 20:34 4978
perl-xml-stream-1.24-r0.apk 25-Oct-2024 20:34 44K
perl-xml-stream-doc-1.24-r0.apk 25-Oct-2024 20:34 18K
persistent-cache-cpp-1.0.7-r2.apk 25-Oct-2024 20:34 47K
persistent-cache-cpp-dev-1.0.7-r2.apk 25-Oct-2024 20:34 18K
persistent-cache-cpp-doc-1.0.7-r2.apk 25-Oct-2024 20:34 3308
pest-language-server-0.3.9-r0.apk 25-Oct-2024 20:34 1M
pfetch-1.6.0-r0.apk 02-Nov-2024 09:58 23K
pfetch-doc-1.6.0-r0.apk 02-Nov-2024 09:58 5539
phoronix-test-suite-10.8.4-r2.apk 25-Oct-2024 20:34 4M
phoronix-test-suite-bash-completion-10.8.4-r2.apk 25-Oct-2024 20:34 1815
phoronix-test-suite-doc-10.8.4-r2.apk 25-Oct-2024 20:34 287K
php81-8.1.31-r0.apk 20-Nov-2024 00:39 2M
php81-apache2-8.1.31-r0.apk 20-Nov-2024 00:39 2M
php81-bcmath-8.1.31-r0.apk 20-Nov-2024 00:39 19K
php81-bz2-8.1.31-r0.apk 20-Nov-2024 00:39 10K
php81-calendar-8.1.31-r0.apk 20-Nov-2024 00:39 15K
php81-cgi-8.1.31-r0.apk 20-Nov-2024 00:39 2M
php81-common-8.1.31-r0.apk 20-Nov-2024 00:39 25K
php81-ctype-8.1.31-r0.apk 20-Nov-2024 00:39 4907
php81-curl-8.1.31-r0.apk 20-Nov-2024 00:39 35K
php81-dba-8.1.31-r0.apk 20-Nov-2024 00:39 23K
php81-dev-8.1.31-r0.apk 20-Nov-2024 00:39 939K
php81-doc-8.1.31-r0.apk 20-Nov-2024 00:39 68K
php81-dom-8.1.31-r0.apk 20-Nov-2024 00:39 63K
php81-embed-8.1.31-r0.apk 20-Nov-2024 00:39 2M
php81-enchant-8.1.31-r0.apk 20-Nov-2024 00:39 8549
php81-exif-8.1.31-r0.apk 20-Nov-2024 00:39 35K
php81-ffi-8.1.31-r0.apk 20-Nov-2024 00:39 71K
php81-fileinfo-8.1.31-r0.apk 20-Nov-2024 00:39 387K
php81-fpm-8.1.31-r0.apk 20-Nov-2024 00:39 2M
php81-ftp-8.1.31-r0.apk 20-Nov-2024 00:39 25K
php81-gd-8.1.31-r0.apk 20-Nov-2024 00:39 135K
php81-gettext-8.1.31-r0.apk 20-Nov-2024 00:39 5822
php81-gmp-8.1.31-r0.apk 20-Nov-2024 00:39 27K
php81-iconv-8.1.31-r0.apk 20-Nov-2024 00:39 20K
php81-imap-8.1.31-r0.apk 20-Nov-2024 00:39 33K
php81-intl-8.1.31-r0.apk 20-Nov-2024 00:39 147K
php81-ldap-8.1.31-r0.apk 20-Nov-2024 00:39 32K
php81-litespeed-8.1.31-r0.apk 20-Nov-2024 00:39 2M
php81-mbstring-8.1.31-r0.apk 20-Nov-2024 00:39 593K
php81-mysqli-8.1.31-r0.apk 20-Nov-2024 00:39 42K
php81-mysqlnd-8.1.31-r0.apk 20-Nov-2024 00:39 80K
php81-odbc-8.1.31-r0.apk 20-Nov-2024 00:39 26K
php81-opcache-8.1.31-r0.apk 20-Nov-2024 00:39 84K
php81-openssl-8.1.31-r0.apk 20-Nov-2024 00:39 75K
php81-pcntl-8.1.31-r0.apk 20-Nov-2024 00:39 13K
php81-pdo-8.1.31-r0.apk 20-Nov-2024 00:39 42K
php81-pdo_dblib-8.1.31-r0.apk 20-Nov-2024 00:39 12K
php81-pdo_mysql-8.1.31-r0.apk 20-Nov-2024 00:39 13K
php81-pdo_odbc-8.1.31-r0.apk 20-Nov-2024 00:39 14K
php81-pdo_pgsql-8.1.31-r0.apk 20-Nov-2024 00:39 21K
php81-pdo_sqlite-8.1.31-r0.apk 20-Nov-2024 00:39 14K
php81-pear-8.1.31-r0.apk 20-Nov-2024 00:39 338K
php81-pecl-amqp-2.1.2-r0.apk 25-Oct-2024 20:34 59K
php81-pecl-apcu-5.1.24-r0.apk 25-Oct-2024 20:34 57K
php81-pecl-ast-1.1.2-r0.apk 25-Oct-2024 20:34 21K
php81-pecl-brotli-0.15.2-r0.apk 08-Nov-2024 20:36 12K
php81-pecl-csv-0.4.2-r0.apk 25-Oct-2024 20:34 10K
php81-pecl-decimal-1.5.0-r1.apk 25-Oct-2024 20:34 20K
php81-pecl-ds-1.5.0-r0.apk 25-Oct-2024 20:34 66K
php81-pecl-event-3.1.4-r0.apk 25-Oct-2024 20:34 55K
php81-pecl-igbinary-3.2.16-r0.apk 25-Oct-2024 20:34 34K
php81-pecl-imagick-3.7.0-r5.apk 25-Oct-2024 20:34 123K
php81-pecl-imagick-dev-3.7.0-r5.apk 25-Oct-2024 20:34 2359
php81-pecl-immutable_cache-6.1.0-r0.apk 25-Oct-2024 20:34 41K
php81-pecl-jsmin-3.0.0-r0.apk 25-Oct-2024 20:34 11K
php81-pecl-luasandbox-4.1.2-r0.apk 25-Oct-2024 20:34 32K
php81-pecl-lzf-1.7.0-r0.apk 25-Oct-2024 20:34 7903
php81-pecl-mailparse-3.1.8-r0.apk 25-Oct-2024 20:34 25K
php81-pecl-maxminddb-1.12.0-r0.apk 15-Nov-2024 16:13 8550
php81-pecl-mcrypt-1.0.7-r0.apk 25-Oct-2024 20:34 16K
php81-pecl-memcache-8.2-r1.apk 25-Oct-2024 20:34 45K
php81-pecl-memcached-3.3.0-r0.apk 25-Oct-2024 20:34 49K
php81-pecl-memprof-3.0.2-r0.apk 25-Oct-2024 20:34 14K
php81-pecl-mongodb-1.19.4-r0.apk 25-Oct-2024 20:34 832K
php81-pecl-msgpack-3.0.0-r0.apk 25-Oct-2024 20:34 27K
php81-pecl-oauth-2.0.9-r0.apk 25-Oct-2024 20:34 37K
php81-pecl-opentelemetry-1.1.0-r0.apk 25-Oct-2024 20:34 13K
php81-pecl-pcov-1.0.11-r0.apk 25-Oct-2024 20:34 10K
php81-pecl-protobuf-4.28.3-r0.apk 25-Oct-2024 20:34 154K
php81-pecl-psr-1.2.0-r0.apk 25-Oct-2024 20:34 19K
php81-pecl-rdkafka-6.0.5-r0.apk 04-Nov-2024 12:52 40K
php81-pecl-redis-6.1.0-r0.apk 25-Oct-2024 20:34 213K
php81-pecl-smbclient-1.1.1-r2.apk 13-Nov-2024 14:14 22K
php81-pecl-ssh2-1.4.1-r0.apk 25-Oct-2024 20:34 30K
php81-pecl-swoole-6.0.0-r0.apk 25-Oct-2024 20:34 858K
php81-pecl-swoole-dev-6.0.0-r0.apk 25-Oct-2024 20:34 178K
php81-pecl-timezonedb-2024.2-r0.apk 25-Oct-2024 20:34 193K
php81-pecl-uploadprogress-2.0.2-r1.apk 25-Oct-2024 20:34 6985
php81-pecl-uploadprogress-doc-2.0.2-r1.apk 25-Oct-2024 20:34 10K
php81-pecl-uuid-1.2.1-r0.apk 25-Oct-2024 20:34 6822
php81-pecl-vips-1.0.13-r0.apk 25-Oct-2024 20:34 18K
php81-pecl-xdebug-3.4.0_beta1-r0.apk 25-Oct-2024 20:34 152K
php81-pecl-xhprof-2.3.10-r0.apk 25-Oct-2024 20:34 13K
php81-pecl-xhprof-assets-2.3.10-r0.apk 25-Oct-2024 20:34 801K
php81-pecl-xlswriter-1.5.8-r0.apk 11-Nov-2024 01:47 233K
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk 25-Oct-2024 20:34 38K
php81-pecl-yaml-2.2.4-r0.apk 25-Oct-2024 20:34 19K
php81-pecl-zephir_parser-1.6.1-r0.apk 25-Oct-2024 20:34 65K
php81-pecl-zstd-0.14.0-r0.apk 06-Nov-2024 14:44 15K
php81-pgsql-8.1.31-r0.apk 20-Nov-2024 00:39 47K
php81-phar-8.1.31-r0.apk 20-Nov-2024 00:39 119K
php81-phpdbg-8.1.31-r0.apk 20-Nov-2024 00:39 2M
php81-posix-8.1.31-r0.apk 20-Nov-2024 00:39 11K
php81-pspell-8.1.31-r0.apk 20-Nov-2024 00:39 8069
php81-session-8.1.31-r0.apk 20-Nov-2024 00:39 38K
php81-shmop-8.1.31-r0.apk 20-Nov-2024 00:39 6059
php81-simplexml-8.1.31-r0.apk 20-Nov-2024 00:39 23K
php81-snmp-8.1.31-r0.apk 20-Nov-2024 00:39 21K
php81-soap-8.1.31-r0.apk 20-Nov-2024 00:39 138K
php81-sockets-8.1.31-r0.apk 20-Nov-2024 00:39 37K
php81-sodium-8.1.31-r0.apk 20-Nov-2024 00:39 29K
php81-sqlite3-8.1.31-r0.apk 20-Nov-2024 00:39 21K
php81-sysvmsg-8.1.31-r0.apk 20-Nov-2024 00:39 7678
php81-sysvsem-8.1.31-r0.apk 20-Nov-2024 00:39 5581
php81-sysvshm-8.1.31-r0.apk 20-Nov-2024 00:39 6699
php81-tidy-8.1.31-r0.apk 20-Nov-2024 00:39 19K
php81-tokenizer-8.1.31-r0.apk 20-Nov-2024 00:39 12K
php81-xml-8.1.31-r0.apk 20-Nov-2024 00:39 19K
php81-xmlreader-8.1.31-r0.apk 20-Nov-2024 00:39 14K
php81-xmlwriter-8.1.31-r0.apk 20-Nov-2024 00:39 13K
php81-xsl-8.1.31-r0.apk 20-Nov-2024 00:39 13K
php81-zip-8.1.31-r0.apk 20-Nov-2024 00:39 26K
php82-pecl-apfd-1.0.3-r0.apk 25-Oct-2024 20:34 4663
php82-pecl-excimer-1.2.2-r0.apk 25-Oct-2024 20:34 22K
php82-pecl-immutable_cache-6.1.0-r0.apk 25-Oct-2024 20:34 41K
php82-pecl-jsmin-3.0.0-r0.apk 25-Oct-2024 20:34 11K
php82-pecl-oauth-2.0.9-r0.apk 25-Oct-2024 20:34 37K
php82-pecl-runkit7-4.0.0_alpha6-r1.apk 25-Oct-2024 20:34 32K
php82-pecl-teds-1.3.0-r0.apk 25-Oct-2024 20:34 135K
php82-pecl-vld-0.18.0-r0.apk 25-Oct-2024 20:34 17K
php82-pecl-zephir_parser-1.6.1-r0.apk 25-Oct-2024 20:34 65K
php82-snappy-0.2.1-r1.apk 25-Oct-2024 20:34 5456
php83-pecl-apfd-1.0.3-r0.apk 25-Oct-2024 20:34 4661
php83-pecl-eio-3.1.3-r0.apk 25-Oct-2024 20:34 32K
php83-pecl-ev-1.2.0-r0.apk 25-Oct-2024 20:34 45K
php83-pecl-excimer-1.2.2-r0.apk 25-Oct-2024 20:34 22K
php83-pecl-jsmin-3.0.0-r0.apk 25-Oct-2024 20:34 11K
php83-pecl-oauth-2.0.9-r0.apk 25-Oct-2024 20:34 37K
php83-pecl-phpy-1.0.8-r0.apk 25-Oct-2024 20:34 44K
php83-pecl-uv-0.3.0-r0.apk 25-Oct-2024 20:34 55K
php83-pecl-vld-0.18.0-r1.apk 25-Oct-2024 20:34 17K
php83-pecl-zmq-1.1.4-r0.apk 25-Oct-2024 20:34 33K
php84-8.4.1-r0.apk 21-Nov-2024 11:58 2M
php84-apache2-8.4.1-r0.apk 21-Nov-2024 11:58 2M
php84-bcmath-8.4.1-r0.apk 21-Nov-2024 11:58 30K
php84-bz2-8.4.1-r0.apk 21-Nov-2024 11:58 10K
php84-calendar-8.4.1-r0.apk 21-Nov-2024 11:58 15K
php84-cgi-8.4.1-r0.apk 21-Nov-2024 11:58 2M
php84-common-8.4.1-r0.apk 21-Nov-2024 11:58 24K
php84-ctype-8.4.1-r0.apk 21-Nov-2024 11:58 4885
php84-curl-8.4.1-r0.apk 21-Nov-2024 11:58 41K
php84-dba-8.4.1-r0.apk 21-Nov-2024 11:58 25K
php84-dbg-8.4.1-r0.apk 21-Nov-2024 11:58 50M
php84-dev-8.4.1-r0.apk 21-Nov-2024 11:58 1M
php84-doc-8.4.1-r0.apk 21-Nov-2024 11:58 68K
php84-dom-8.4.1-r0.apk 21-Nov-2024 11:58 1M
php84-embed-8.4.1-r0.apk 21-Nov-2024 11:58 2M
php84-enchant-8.4.1-r0.apk 21-Nov-2024 11:58 9223
php84-exif-8.4.1-r0.apk 21-Nov-2024 11:58 34K
php84-ffi-8.4.1-r0.apk 21-Nov-2024 11:58 73K
php84-fileinfo-8.4.1-r0.apk 21-Nov-2024 11:58 460K
php84-fpm-8.4.1-r0.apk 21-Nov-2024 11:58 2M
php84-ftp-8.4.1-r0.apk 21-Nov-2024 11:58 25K
php84-gd-8.4.1-r0.apk 21-Nov-2024 11:58 142K
php84-gettext-8.4.1-r0.apk 21-Nov-2024 11:58 6695
php84-gmp-8.4.1-r0.apk 21-Nov-2024 11:58 28K
php84-iconv-8.4.1-r0.apk 21-Nov-2024 11:58 20K
php84-intl-8.4.1-r0.apk 21-Nov-2024 11:58 181K
php84-ldap-8.4.1-r0.apk 21-Nov-2024 11:58 33K
php84-litespeed-8.4.1-r0.apk 21-Nov-2024 11:58 2M
php84-mbstring-8.4.1-r0.apk 21-Nov-2024 11:58 668K
php84-mysqli-8.4.1-r0.apk 21-Nov-2024 11:58 44K
php84-mysqlnd-8.4.1-r0.apk 21-Nov-2024 11:58 78K
php84-odbc-8.4.1-r0.apk 21-Nov-2024 11:58 30K
php84-opcache-8.4.1-r0.apk 21-Nov-2024 11:58 88K
php84-openssl-8.4.1-r0.apk 21-Nov-2024 11:58 84K
php84-pcntl-8.4.1-r0.apk 21-Nov-2024 11:58 17K
php84-pdo-8.4.1-r0.apk 21-Nov-2024 11:58 46K
php84-pdo_dblib-8.4.1-r0.apk 21-Nov-2024 11:58 13K
php84-pdo_mysql-8.4.1-r0.apk 21-Nov-2024 11:58 15K
php84-pdo_odbc-8.4.1-r0.apk 21-Nov-2024 11:58 15K
php84-pdo_pgsql-8.4.1-r0.apk 21-Nov-2024 11:58 25K
php84-pdo_sqlite-8.4.1-r0.apk 21-Nov-2024 11:58 18K
php84-pear-8.4.1-r0.apk 21-Nov-2024 11:58 338K
php84-pecl-apcu-5.1.24-r1.apk 25-Oct-2024 20:34 57K
php84-pecl-ast-1.1.2-r1.apk 25-Oct-2024 20:34 22K
php84-pecl-brotli-0.15.2-r0.apk 08-Nov-2024 20:36 12K
php84-pecl-decimal-1.5.0-r2.apk 25-Oct-2024 20:34 20K
php84-pecl-ev-1.2.0-r1.apk 25-Oct-2024 20:34 45K
php84-pecl-event-3.1.4-r1.apk 25-Oct-2024 20:34 56K
php84-pecl-excimer-1.2.2-r1.apk 25-Oct-2024 20:34 22K
php84-pecl-igbinary-3.2.16-r1.apk 25-Oct-2024 20:34 34K
php84-pecl-imagick-3.7.0-r1.apk 25-Oct-2024 20:34 123K
php84-pecl-imagick-dev-3.7.0-r1.apk 25-Oct-2024 20:34 2341
php84-pecl-imap-1.0.3-r0.apk 21-Nov-2024 11:02 37K
php84-pecl-lzf-1.7.0-r1.apk 25-Oct-2024 20:34 7860
php84-pecl-mailparse-3.1.8-r0.apk 25-Oct-2024 20:34 25K
php84-pecl-maxminddb-1.12.0-r0.apk 15-Nov-2024 16:13 8569
php84-pecl-memcache-8.2-r1.apk 25-Oct-2024 20:34 45K
php84-pecl-memcached-3.3.0-r0.apk 25-Oct-2024 20:34 50K
php84-pecl-mongodb-1.20.0-r0.apk 25-Oct-2024 20:34 858K
php84-pecl-msgpack-3.0.0-r0.apk 25-Oct-2024 20:34 28K
php84-pecl-oauth-2.0.9-r0.apk 25-Oct-2024 20:34 37K
php84-pecl-opentelemetry-1.1.0-r0.apk 25-Oct-2024 20:34 14K
php84-pecl-pcov-1.0.11-r1.apk 25-Oct-2024 20:34 10K
php84-pecl-protobuf-4.28.3-r0.apk 25-Oct-2024 20:34 154K
php84-pecl-psr-1.2.0-r1.apk 25-Oct-2024 20:34 20K
php84-pecl-rdkafka-6.0.5-r0.apk 04-Nov-2024 12:52 41K
php84-pecl-redis-6.1.0-r0.apk 25-Oct-2024 20:34 216K
php84-pecl-smbclient-1.1.1-r0.apk 13-Nov-2024 14:29 22K
php84-pecl-ssh2-1.4.1-r1.apk 25-Oct-2024 20:34 30K
php84-pecl-swoole-6.0.0-r0.apk 25-Oct-2024 20:34 866K
php84-pecl-swoole-dev-6.0.0-r0.apk 25-Oct-2024 20:34 178K
php84-pecl-uploadprogress-2.0.2-r2.apk 25-Oct-2024 20:34 6956
php84-pecl-uploadprogress-doc-2.0.2-r2.apk 25-Oct-2024 20:34 10K
php84-pecl-uuid-1.2.1-r0.apk 25-Oct-2024 20:34 6837
php84-pecl-uv-0.3.0-r0.apk 28-Oct-2024 12:47 55K
php84-pecl-xdebug-3.4.0_beta1-r0.apk 25-Oct-2024 20:34 152K
php84-pecl-xhprof-2.3.10-r1.apk 25-Oct-2024 20:34 13K
php84-pecl-xhprof-assets-2.3.10-r1.apk 25-Oct-2024 20:34 808K
php84-pecl-xlswriter-1.5.8-r0.apk 11-Nov-2024 01:47 233K
php84-pecl-yaml-2.2.4-r0.apk 25-Oct-2024 20:34 19K
php84-pecl-zstd-0.14.0-r0.apk 06-Nov-2024 14:44 15K
php84-pgsql-8.4.1-r0.apk 21-Nov-2024 11:58 59K
php84-phar-8.4.1-r0.apk 21-Nov-2024 11:58 119K
php84-phpdbg-8.4.1-r0.apk 21-Nov-2024 11:58 2M
php84-posix-8.4.1-r0.apk 21-Nov-2024 11:58 12K
php84-session-8.4.1-r0.apk 21-Nov-2024 11:58 40K
php84-shmop-8.4.1-r0.apk 21-Nov-2024 11:58 6391
php84-simplexml-8.4.1-r0.apk 21-Nov-2024 11:58 23K
php84-snmp-8.4.1-r0.apk 21-Nov-2024 11:58 22K
php84-soap-8.4.1-r0.apk 21-Nov-2024 11:58 143K
php84-sockets-8.4.1-r0.apk 21-Nov-2024 11:58 42K
php84-sodium-8.4.1-r0.apk 21-Nov-2024 11:58 31K
php84-sqlite3-8.4.1-r0.apk 21-Nov-2024 11:58 23K
php84-sysvmsg-8.4.1-r0.apk 21-Nov-2024 11:58 7936
php84-sysvsem-8.4.1-r0.apk 21-Nov-2024 11:58 5560
php84-sysvshm-8.4.1-r0.apk 21-Nov-2024 11:58 6712
php84-tidy-8.4.1-r0.apk 21-Nov-2024 11:58 20K
php84-tokenizer-8.4.1-r0.apk 21-Nov-2024 11:58 12K
php84-xml-8.4.1-r0.apk 21-Nov-2024 11:58 25K
php84-xmlreader-8.4.1-r0.apk 21-Nov-2024 11:58 16K
php84-xmlwriter-8.4.1-r0.apk 21-Nov-2024 11:58 14K
php84-xsl-8.4.1-r0.apk 21-Nov-2024 11:58 14K
php84-zip-8.4.1-r0.apk 21-Nov-2024 11:58 30K
phpactor-2024.06.30.0-r0.apk 25-Oct-2024 20:34 4M
pick-4.0.0-r0.apk 25-Oct-2024 20:34 10K
pick-doc-4.0.0-r0.apk 25-Oct-2024 20:34 3401
piglit-0_git20241106-r0.apk 08-Nov-2024 06:18 90M
pimd-3.0_git20220201-r0.apk 25-Oct-2024 20:34 87K
pimd-dense-2.1.0-r0.apk 25-Oct-2024 20:34 53K
pimd-dense-doc-2.1.0-r0.apk 25-Oct-2024 20:34 20K
pimd-dense-openrc-2.1.0-r0.apk 25-Oct-2024 20:34 1898
pimd-doc-3.0_git20220201-r0.apk 25-Oct-2024 20:34 35K
pimd-openrc-3.0_git20220201-r0.apk 25-Oct-2024 20:34 1664
pinentry-bemenu-0.13.1-r0.apk 25-Oct-2024 20:34 9537
pipectl-0.4.1-r1.apk 25-Oct-2024 20:34 6080
pipectl-doc-0.4.1-r1.apk 25-Oct-2024 20:34 3077
pipeline-2.0.3-r0.apk 03-Nov-2024 21:37 2M
pipeline-doc-2.0.3-r0.apk 03-Nov-2024 21:37 14K
pipeline-lang-2.0.3-r0.apk 03-Nov-2024 21:37 49K
pithos-1.6.1-r0.apk 25-Oct-2024 20:34 104K
pithos-doc-1.6.1-r0.apk 25-Oct-2024 20:34 2142
pithos-pyc-1.6.1-r0.apk 25-Oct-2024 20:34 154K
pixiewps-1.4.2-r1.apk 25-Oct-2024 20:34 40K
pixiewps-doc-1.4.2-r1.apk 25-Oct-2024 20:34 3467
planarity-3.0.2.0-r2.apk 25-Oct-2024 20:34 9201
planarity-dev-3.0.2.0-r2.apk 25-Oct-2024 20:34 19K
planarity-doc-3.0.2.0-r2.apk 25-Oct-2024 20:34 13K
planarity-libs-3.0.2.0-r2.apk 25-Oct-2024 20:34 80K
planner-0.14.92-r0.apk 25-Oct-2024 20:34 362K
planner-doc-0.14.92-r0.apk 25-Oct-2024 20:34 2266
planner-lang-0.14.92-r0.apk 25-Oct-2024 20:34 827K
platformio-core-6.1.7-r3.apk 25-Oct-2024 20:34 263K
platformio-core-pyc-6.1.7-r3.apk 25-Oct-2024 20:34 552K
plfit-0.9.6-r0.apk 25-Oct-2024 20:34 13K
plfit-dev-0.9.6-r0.apk 25-Oct-2024 20:34 6148
plfit-libs-0.9.6-r0.apk 25-Oct-2024 20:34 40K
plfit-static-0.9.6-r0.apk 25-Oct-2024 20:34 46K
plib-1.8.5-r3.apk 25-Oct-2024 20:34 868K
plots-0.7.0-r1.apk 06-Nov-2024 09:42 516K
plplot-5.15.0-r2.apk 25-Oct-2024 20:34 31K
plplot-dev-5.15.0-r2.apk 25-Oct-2024 20:34 59K
plplot-doc-5.15.0-r2.apk 25-Oct-2024 20:34 311K
plplot-libs-5.15.0-r2.apk 25-Oct-2024 20:34 203K
plzip-1.11-r1.apk 25-Oct-2024 20:34 47K
plzip-doc-1.11-r1.apk 25-Oct-2024 20:34 16K
pmccabe-2.8-r1.apk 25-Oct-2024 20:34 24K
pmccabe-doc-2.8-r1.apk 25-Oct-2024 20:34 7318
pnmixer-0.7.2-r3.apk 25-Oct-2024 20:34 143K
pnmixer-doc-0.7.2-r3.apk 25-Oct-2024 20:34 2354
pnmixer-lang-0.7.2-r3.apk 25-Oct-2024 20:34 25K
poke-4.2-r0.apk 25-Oct-2024 20:34 1M
poke-doc-4.2-r0.apk 25-Oct-2024 20:34 201K
pokoy-0.2.5-r0.apk 25-Oct-2024 20:34 9962
pokoy-doc-0.2.5-r0.apk 25-Oct-2024 20:34 3067
policycoreutils-3.6-r1.apk 25-Oct-2024 20:34 57K
policycoreutils-bash-completion-3.6-r1.apk 25-Oct-2024 20:34 2471
policycoreutils-doc-3.6-r1.apk 25-Oct-2024 20:34 22K
policycoreutils-lang-3.6-r1.apk 25-Oct-2024 20:34 105K
polyglot-2.0.4-r1.apk 25-Oct-2024 20:34 67K
polyglot-doc-2.0.4-r1.apk 25-Oct-2024 20:34 48K
pomo-0.8.1-r18.apk 25-Oct-2024 20:34 2M
pomo-doc-0.8.1-r18.apk 25-Oct-2024 20:34 2769
pongoos-loader-0_git20210704-r1.apk 25-Oct-2024 20:34 2425
popeye-0.21.5-r0.apk 25-Oct-2024 20:34 26M
porla-0.40.0-r0.apk 25-Oct-2024 20:34 4M
porla-doc-0.40.0-r0.apk 25-Oct-2024 20:34 2246
porla-openrc-0.40.0-r0.apk 25-Oct-2024 20:34 2750
portsmf-239-r1.apk 25-Oct-2024 20:34 57K
portsmf-dev-239-r1.apk 25-Oct-2024 20:34 20K
postgresql-age-1.5.0-r1.apk 01-Nov-2024 19:39 221K
postgresql-age-bitcode-1.5.0-r1.apk 01-Nov-2024 19:39 723K
postgresql-hll-2.18-r0.apk 25-Oct-2024 20:34 28K
postgresql-hll-bitcode-2.18-r0.apk 25-Oct-2024 20:34 58K
postgresql-pg_partman-5.0.0-r0.apk 25-Oct-2024 20:34 971K
postgresql-pg_partman-bitcode-5.0.0-r0.apk 25-Oct-2024 20:34 22K
postgresql-pg_partman-doc-5.0.0-r0.apk 25-Oct-2024 20:34 47K
postgresql-pg_partman-scripts-5.0.0-r0.apk 25-Oct-2024 20:34 7896
postgresql-pg_variables-1.2.5_git20230922-r0.apk 25-Oct-2024 20:34 23K
postgresql-pg_variables-bitcode-1.2.5_git202309..> 25-Oct-2024 20:34 72K
postgresql-pgmq-1.1.1-r1.apk 25-Oct-2024 20:34 314K
postgresql16-wal2json-2.6-r0.apk 25-Oct-2024 20:34 71K
pounce-3.1-r3.apk 25-Oct-2024 20:34 31K
pounce-doc-3.1-r3.apk 25-Oct-2024 20:34 8759
pounce-openrc-3.1-r3.apk 25-Oct-2024 20:34 2936
powder-toy-97.0.352-r1.apk 25-Oct-2024 20:34 848K
powerstat-0.04.01-r0.apk 25-Oct-2024 20:34 19K
powerstat-bash-completion-0.04.01-r0.apk 25-Oct-2024 20:34 2353
powerstat-doc-0.04.01-r0.apk 25-Oct-2024 20:34 4364
powersupply-0.9.0-r0.apk 25-Oct-2024 20:34 13K
ppl-1.2-r1.apk 25-Oct-2024 20:34 41K
ppl-dev-1.2-r1.apk 25-Oct-2024 20:34 614K
ppl-doc-1.2-r1.apk 25-Oct-2024 20:34 9M
pptpclient-1.10.0-r5.apk 25-Oct-2024 20:34 34K
pptpclient-doc-1.10.0-r5.apk 25-Oct-2024 20:34 7353
pqiv-2.12-r1.apk 25-Oct-2024 20:34 70K
pqiv-doc-2.12-r1.apk 25-Oct-2024 20:34 12K
primecount-7.14-r0.apk 25-Oct-2024 20:34 31K
primecount-dev-7.14-r0.apk 25-Oct-2024 20:34 2M
primecount-doc-7.14-r0.apk 25-Oct-2024 20:34 3943
primecount-libs-7.14-r0.apk 25-Oct-2024 20:34 161K
primesieve-12.4-r0.apk 25-Oct-2024 20:34 45K
primesieve-dev-12.4-r0.apk 25-Oct-2024 20:34 1M
primesieve-doc-12.4-r0.apk 25-Oct-2024 20:34 4131
primesieve-libs-12.4-r0.apk 25-Oct-2024 20:34 90K
prjtrellis-1.4-r2.apk 25-Oct-2024 20:34 1M
prjtrellis-db-0_git20230929-r0.apk 25-Oct-2024 20:34 3380
prjtrellis-db-ecp5-0_git20230929-r0.apk 25-Oct-2024 20:34 2M
prjtrellis-db-machxo-0_git20230929-r0.apk 25-Oct-2024 20:34 39K
prjtrellis-db-machxo2-0_git20230929-r0.apk 25-Oct-2024 20:34 1013K
prjtrellis-db-machxo3-0_git20230929-r0.apk 25-Oct-2024 20:34 1M
prjtrellis-db-machxo3d-0_git20230929-r0.apk 25-Oct-2024 20:34 748K
projectm-3.1.12-r2.apk 25-Oct-2024 20:34 461K
projectm-dev-3.1.12-r2.apk 25-Oct-2024 20:34 625K
projectm-presets-3.1.12-r2.apk 25-Oct-2024 20:34 4M
projectm-pulseaudio-3.1.12-r2.apk 25-Oct-2024 20:34 436K
projectm-pulseaudio-doc-3.1.12-r2.apk 25-Oct-2024 20:34 2051
projectm-sdl-3.1.12-r2.apk 25-Oct-2024 20:34 340K
projectsandcastle-loader-0_git20200307-r1.apk 25-Oct-2024 20:34 5135
prometheus-bind-exporter-0.7.0-r6.apk 25-Oct-2024 20:34 4M
prometheus-bind-exporter-openrc-0.7.0-r6.apk 25-Oct-2024 20:34 1942
prometheus-ceph-exporter-4.2.4-r1.apk 25-Oct-2024 20:34 3M
prometheus-ceph-exporter-openrc-4.2.4-r1.apk 25-Oct-2024 20:34 1888
prometheus-ipmi-exporter-1.8.0-r0.apk 25-Oct-2024 20:34 4M
prometheus-ipmi-exporter-doc-1.8.0-r0.apk 25-Oct-2024 20:34 6803
prometheus-ipmi-exporter-openrc-1.8.0-r0.apk 25-Oct-2024 20:34 2016
prometheus-opnsense-exporter-0.0.5-r0.apk 25-Oct-2024 20:34 4M
prometheus-opnsense-exporter-openrc-0.0.5-r0.apk 25-Oct-2024 20:34 2171
prometheus-podman-exporter-1.13.3-r0.apk 20-Nov-2024 22:25 15M
prometheus-rethinkdb-exporter-1.0.1-r23.apk 25-Oct-2024 20:34 4M
prometheus-rethinkdb-exporter-openrc-1.0.1-r23.apk 25-Oct-2024 20:34 1691
prometheus-smartctl-exporter-0.12.0-r6.apk 13-Nov-2024 14:32 4M
prometheus-smartctl-exporter-openrc-0.12.0-r6.apk 13-Nov-2024 14:32 1881
prometheus-smokeping-prober-0.7.1-r7.apk 25-Oct-2024 20:34 4M
prometheus-smokeping-prober-openrc-0.7.1-r7.apk 25-Oct-2024 20:34 2086
prometheus-unbound-exporter-0.4.6-r0.apk 25-Oct-2024 20:34 3M
prometheus-unbound-exporter-openrc-0.4.6-r0.apk 25-Oct-2024 20:34 2038
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 2883
prosody-mod-auth_pam-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 1847
prosody-mod-auth_sql-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 2704
prosody-mod-block_registrations-0.11_hg20201208..> 25-Oct-2024 20:34 1809
prosody-mod-bookmarks-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 3186
prosody-mod-broadcast-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 1895
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r..> 25-Oct-2024 20:34 2052
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 7385
prosody-mod-conversejs-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 3345
prosody-mod-host_guard-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 2901
prosody-mod-http_upload_external-0.11_hg2020120..> 25-Oct-2024 20:34 2963
prosody-mod-ipcheck-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 2001
prosody-mod-log_auth-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 1807
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 2235
prosody-mod-mam-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 6024
prosody-mod-mam_muc-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 5688
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0..> 25-Oct-2024 20:34 7026
prosody-mod-pastebin-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 3805
prosody-mod-register_json-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 104K
prosody-mod-register_redirect-0.11_hg20201208-r..> 25-Oct-2024 20:34 2773
prosody-mod-reload_modules-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 2044
prosody-mod-require_otr-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 1753
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0..> 25-Oct-2024 20:34 2065
prosody-mod-saslname-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 1645
prosody-mod-server_status-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 2839
prosody-mod-smacks-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 8785
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 2080
prosody-mod-support_contact-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 2075
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 2726
prosody-mod-webpresence-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 2701
prosody-modules-0.11_hg20201208-r0.apk 25-Oct-2024 20:34 1485
protoc-gen-go-1.35.1-r0.apk 25-Oct-2024 20:34 2M
protoconf-0.1.7-r8.apk 25-Oct-2024 20:34 7M
psftools-1.1.2-r0.apk 25-Oct-2024 20:34 285K
psftools-dev-1.1.2-r0.apk 25-Oct-2024 20:34 84K
psftools-doc-1.1.2-r0.apk 25-Oct-2024 20:34 60K
psi-notify-1.3.1-r0.apk 25-Oct-2024 20:34 10K
pspp-2.0.1-r0.apk 25-Oct-2024 20:34 10M
pspp-dbg-2.0.1-r0.apk 25-Oct-2024 20:34 4M
pspp-doc-2.0.1-r0.apk 25-Oct-2024 20:34 9230
psst-0_git20240526-r1.apk 25-Oct-2024 20:34 8M
ptpd-2.3.1-r1.apk 25-Oct-2024 20:34 175K
ptpd-doc-2.3.1-r1.apk 25-Oct-2024 20:34 20K
ptpd-openrc-2.3.1-r1.apk 25-Oct-2024 20:34 2446
ptylie-0.2-r1.apk 25-Oct-2024 20:34 12K
ptylie-doc-0.2-r1.apk 25-Oct-2024 20:34 3230
ptyxis-47.4-r0.apk 07-Nov-2024 23:51 278K
ptyxis-doc-47.4-r0.apk 07-Nov-2024 23:51 2951
ptyxis-lang-47.4-r0.apk 07-Nov-2024 23:51 228K
pully-1.0.0-r0.apk 25-Oct-2024 20:34 2587
pully-openrc-1.0.0-r0.apk 25-Oct-2024 20:34 1762
pulsar-client-cpp-3.1.2-r4.apk 25-Oct-2024 20:34 1M
pulsar-client-cpp-dev-3.1.2-r4.apk 25-Oct-2024 20:34 55K
pulseview-0.4.2-r8.apk 25-Oct-2024 20:34 998K
pulseview-doc-0.4.2-r8.apk 25-Oct-2024 20:34 3748
purple-facebook-0.9.6-r0.apk 25-Oct-2024 20:34 78K
purple-hangouts-0_git20200422-r0.apk 25-Oct-2024 20:34 246K
pwauth-2.3.11-r2.apk 25-Oct-2024 20:34 3871
pwauth-doc-2.3.11-r2.apk 25-Oct-2024 20:34 6966
pxalarm-3.0.0-r0.apk 25-Oct-2024 20:34 2952
pxmenu-1.0.0-r1.apk 25-Oct-2024 20:34 2949
py3-actdiag-3.0.0-r5.apk 25-Oct-2024 20:34 17K
py3-actdiag-pyc-3.0.0-r5.apk 25-Oct-2024 20:34 21K
py3-aesedb-0.1.6-r2.apk 25-Oct-2024 20:34 37K
py3-aesedb-pyc-0.1.6-r2.apk 25-Oct-2024 20:34 76K
py3-agithub-2.2.2-r6.apk 25-Oct-2024 20:34 19K
py3-agithub-pyc-2.2.2-r6.apk 25-Oct-2024 20:34 22K
py3-aiodocker-0.21.0-r1.apk 25-Oct-2024 20:34 29K
py3-aiodocker-pyc-0.21.0-r1.apk 25-Oct-2024 20:34 60K
py3-aiohttp-debugtoolbar-0.6.1-r2.apk 25-Oct-2024 20:34 446K
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk 25-Oct-2024 20:34 51K
py3-aiohttp-jinja2-1.6-r2.apk 25-Oct-2024 20:34 12K
py3-aiohttp-jinja2-pyc-1.6-r2.apk 25-Oct-2024 20:34 9452
py3-aiohttp-remotes-1.3.0-r0.apk 04-Nov-2024 12:28 10K
py3-aiohttp-remotes-pyc-1.3.0-r0.apk 04-Nov-2024 12:28 19K
py3-aiohttp-session-2.12.1-r0.apk 25-Oct-2024 20:34 10K
py3-aiohttp-session-pyc-2.12.1-r0.apk 25-Oct-2024 20:34 15K
py3-aioitertools-0.11.0-r0.apk 25-Oct-2024 20:34 21K
py3-aioitertools-pyc-0.11.0-r0.apk 25-Oct-2024 20:34 52K
py3-aioopenssl-0.6.0-r4.apk 25-Oct-2024 20:34 21K
py3-aioopenssl-pyc-0.6.0-r4.apk 25-Oct-2024 20:34 19K
py3-aiosasl-0.5.0-r4.apk 25-Oct-2024 20:34 30K
py3-aiosasl-doc-0.5.0-r4.apk 25-Oct-2024 20:34 16K
py3-aiosasl-pyc-0.5.0-r4.apk 25-Oct-2024 20:34 24K
py3-aiosmb-0.4.11-r0.apk 25-Oct-2024 20:34 605K
py3-aiosmb-pyc-0.4.11-r0.apk 25-Oct-2024 20:34 1M
py3-aiowinreg-0.0.12-r0.apk 25-Oct-2024 20:34 23K
py3-aiowinreg-pyc-0.0.12-r0.apk 25-Oct-2024 20:34 45K
py3-aioxmpp-0.13.3-r3.apk 25-Oct-2024 20:34 388K
py3-aioxmpp-doc-0.13.3-r3.apk 25-Oct-2024 20:34 18K
py3-aioxmpp-pyc-0.13.3-r3.apk 25-Oct-2024 20:34 673K
py3-allfiles-1.0-r8.apk 25-Oct-2024 20:34 3656
py3-allfiles-pyc-1.0-r8.apk 25-Oct-2024 20:34 3347
py3-altgraph-0.17.4-r1.apk 25-Oct-2024 20:34 21K
py3-altgraph-pyc-0.17.4-r1.apk 25-Oct-2024 20:34 29K
py3-ansi2html-1.9.2-r0.apk 25-Oct-2024 20:34 18K
py3-ansi2html-pyc-1.9.2-r0.apk 25-Oct-2024 20:34 22K
py3-anyascii-0.3.2-r1.apk 25-Oct-2024 20:34 275K
py3-anyascii-pyc-0.3.2-r1.apk 25-Oct-2024 20:34 3410
py3-apicula-0.11.1-r1.apk 25-Oct-2024 20:34 8M
py3-apicula-pyc-0.11.1-r1.apk 25-Oct-2024 20:34 179K
py3-apio-0.9.5-r0.apk 25-Oct-2024 20:34 72K
py3-apio-pyc-0.9.5-r0.apk 25-Oct-2024 20:34 77K
py3-apsw-3.46.1.0-r0.apk 25-Oct-2024 20:34 352K
py3-apsw-pyc-3.46.1.0-r0.apk 25-Oct-2024 20:34 321K
py3-arcus-5.3.0-r1.apk 25-Oct-2024 20:34 92K
py3-asif-0.3.2-r3.apk 25-Oct-2024 20:34 13K
py3-asif-pyc-0.3.2-r3.apk 25-Oct-2024 20:34 26K
py3-ask-0.0.8-r8.apk 25-Oct-2024 20:34 5118
py3-ask-pyc-0.0.8-r8.apk 25-Oct-2024 20:34 4582
py3-astral-3.2-r3.apk 25-Oct-2024 20:34 37K
py3-astral-pyc-3.2-r3.apk 25-Oct-2024 20:34 59K
py3-asyauth-0.0.21-r0.apk 25-Oct-2024 20:34 79K
py3-asyauth-pyc-0.0.21-r0.apk 25-Oct-2024 20:34 171K
py3-async-lru-2.0.4-r1.apk 25-Oct-2024 20:34 7464
py3-async-lru-pyc-2.0.4-r1.apk 25-Oct-2024 20:34 8817
py3-asysocks-0.2.13-r0.apk 25-Oct-2024 20:34 87K
py3-asysocks-pyc-0.2.13-r0.apk 25-Oct-2024 20:34 232K
py3-avro-1.11.3-r1.apk 25-Oct-2024 20:34 98K
py3-avro-pyc-1.11.3-r1.apk 25-Oct-2024 20:34 191K
py3-backoff-2.2.1-r0.apk 25-Oct-2024 20:34 14K
py3-backoff-doc-2.2.1-r0.apk 25-Oct-2024 20:34 2268
py3-backoff-pyc-2.2.1-r0.apk 25-Oct-2024 20:34 14K
py3-banal-1.0.6-r4.apk 25-Oct-2024 20:34 7044
py3-banal-pyc-1.0.6-r4.apk 25-Oct-2024 20:34 7382
py3-bandwidth-sdk-3.1.0-r8.apk 25-Oct-2024 20:34 46K
py3-bandwidth-sdk-pyc-3.1.0-r8.apk 25-Oct-2024 20:34 69K
py3-barcodenumber-0.2.1-r10.apk 25-Oct-2024 20:34 16K
py3-barcodenumber-pyc-0.2.1-r10.apk 25-Oct-2024 20:34 4362
py3-base58-2.1.1-r2.apk 25-Oct-2024 20:34 11K
py3-beartype-0.19.0-r0.apk 25-Oct-2024 20:34 827K
py3-beartype-pyc-0.19.0-r0.apk 25-Oct-2024 20:34 595K
py3-bencode-4.0.0-r1.apk 25-Oct-2024 20:34 17K
py3-bencode-pyc-4.0.0-r1.apk 25-Oct-2024 20:34 10K
py3-bidict-0.23.1-r1.apk 25-Oct-2024 20:34 28K
py3-bidict-pyc-0.23.1-r1.apk 25-Oct-2024 20:34 29K
py3-bite-parser-0.2.5-r0.apk 28-Oct-2024 21:51 14K
py3-bite-parser-pyc-0.2.5-r0.apk 28-Oct-2024 21:51 23K
py3-bitstruct-8.19.0-r1.apk 25-Oct-2024 20:34 36K
py3-bitstruct-pyc-8.19.0-r1.apk 25-Oct-2024 20:34 13K
py3-bleak-0.22.3-r0.apk 25-Oct-2024 20:34 370K
py3-blockchain-1.4.4-r7.apk 25-Oct-2024 20:34 11K
py3-blockchain-pyc-1.4.4-r7.apk 25-Oct-2024 20:34 18K
py3-blockdiag-3.0.0-r5.apk 25-Oct-2024 20:34 70K
py3-blockdiag-pyc-3.0.0-r5.apk 25-Oct-2024 20:34 151K
py3-blockdiag-tests-3.0.0-r5.apk 25-Oct-2024 20:34 3M
py3-bookkeeper-4.17.1-r0.apk 25-Oct-2024 20:34 43K
py3-bookkeeper-pyc-4.17.1-r0.apk 25-Oct-2024 20:34 67K
py3-bottle-api-0.0.4-r7.apk 25-Oct-2024 20:34 4991
py3-bottle-api-pyc-0.0.4-r7.apk 25-Oct-2024 20:34 5341
py3-bottle-pgsql-0.2-r5.apk 25-Oct-2024 20:34 4371
py3-bottle-redis-0.2.3-r6.apk 25-Oct-2024 20:34 3380
py3-bottle-redis-pyc-0.2.3-r6.apk 25-Oct-2024 20:34 3204
py3-bottle-renderer-0.1.1-r9.apk 25-Oct-2024 20:34 4078
py3-bottle-renderer-pyc-0.1.1-r9.apk 25-Oct-2024 20:34 3829
py3-bottle-request-0.2.0-r9.apk 25-Oct-2024 20:34 3290
py3-bottle-request-pyc-0.2.0-r9.apk 25-Oct-2024 20:34 2665
py3-bottle-rest-0.6.0-r1.apk 25-Oct-2024 20:34 6258
py3-bottle-rest-pyc-0.6.0-r1.apk 25-Oct-2024 20:34 5299
py3-bottle-session-1.0-r6.apk 25-Oct-2024 20:34 10K
py3-bottle-session-pyc-1.0-r6.apk 25-Oct-2024 20:34 7982
py3-bottle-sqlalchemy-0.4.3-r8.apk 25-Oct-2024 20:34 4965
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk 25-Oct-2024 20:34 5766
py3-bottle-sqlite-0.2.0-r7.apk 25-Oct-2024 20:34 4809
py3-bottle-sqlite-pyc-0.2.0-r7.apk 25-Oct-2024 20:34 5363
py3-bottle-websocket-0.2.9-r8.apk 25-Oct-2024 20:34 4750
py3-bottle-websocket-pyc-0.2.9-r8.apk 25-Oct-2024 20:34 3209
py3-bottle-werkzeug-0.1.1-r9.apk 25-Oct-2024 20:34 4190
py3-bottle-werkzeug-pyc-0.1.1-r9.apk 25-Oct-2024 20:34 4356
py3-bson-0.5.10-r6.apk 25-Oct-2024 20:34 12K
py3-bson-pyc-0.5.10-r6.apk 25-Oct-2024 20:34 19K
py3-businesstime-0.3.0-r9.apk 25-Oct-2024 20:34 11K
py3-businesstime-pyc-0.3.0-r9.apk 25-Oct-2024 20:34 16K
py3-c3d-0.5.2-r1.apk 25-Oct-2024 20:34 32K
py3-c3d-pyc-0.5.2-r1.apk 25-Oct-2024 20:34 54K
py3-caldav-1.4.0-r0.apk 09-Nov-2024 17:43 68K
py3-caldav-pyc-1.4.0-r0.apk 09-Nov-2024 17:43 90K
py3-cassandra-driver-3.29.2-r0.apk 25-Oct-2024 20:34 286K
py3-cassandra-driver-pyc-3.29.2-r0.apk 25-Oct-2024 20:34 560K
py3-catkin-pkg-0.5.2-r4.apk 25-Oct-2024 20:34 57K
py3-catkin-pkg-pyc-0.5.2-r4.apk 25-Oct-2024 20:34 103K
py3-cchardet-2.1.7-r5.apk 25-Oct-2024 20:34 127K
py3-cchardet-pyc-2.1.7-r5.apk 25-Oct-2024 20:34 3122
py3-cdio-2.1.1-r5.apk 25-Oct-2024 20:34 107K
py3-cdio-pyc-2.1.1-r5.apk 25-Oct-2024 20:34 43K
py3-certauth-1.3.0-r1.apk 25-Oct-2024 20:34 8920
py3-certauth-pyc-1.3.0-r1.apk 25-Oct-2024 20:34 9307
py3-chameleon-4.5.4-r0.apk 25-Oct-2024 20:34 97K
py3-chameleon-pyc-4.5.4-r0.apk 25-Oct-2024 20:34 131K
py3-ciso8601-2.3.1-r1.apk 25-Oct-2024 20:34 17K
py3-cjkwrap-2.2-r4.apk 25-Oct-2024 20:34 4722
py3-cjkwrap-pyc-2.2-r4.apk 25-Oct-2024 20:34 5360
py3-class-doc-1.25-r1.apk 25-Oct-2024 20:34 6203
py3-class-doc-pyc-1.25-r1.apk 25-Oct-2024 20:34 8922
py3-click-completion-0.5.2-r1.apk 25-Oct-2024 20:34 11K
py3-click-completion-pyc-0.5.2-r1.apk 25-Oct-2024 20:34 14K
py3-click-default-group-1.2.4-r1.apk 25-Oct-2024 20:34 5268
py3-click-default-group-pyc-1.2.4-r1.apk 25-Oct-2024 20:34 4635
py3-click-threading-0.5.0-r5.apk 25-Oct-2024 20:34 6499
py3-click-threading-pyc-0.5.0-r5.apk 25-Oct-2024 20:34 8048
py3-clickclick-20.10.2-r4.apk 25-Oct-2024 20:34 8140
py3-clickclick-pyc-20.10.2-r4.apk 25-Oct-2024 20:34 10K
py3-cmd2-2.4.3-r2.apk 25-Oct-2024 20:34 139K
py3-cmd2-pyc-2.4.3-r2.apk 25-Oct-2024 20:34 223K
py3-cobs-1.2.0-r4.apk 25-Oct-2024 20:34 17K
py3-cobs-pyc-1.2.0-r4.apk 25-Oct-2024 20:34 12K
py3-colander-2.0-r2.apk 25-Oct-2024 20:34 62K
py3-colander-pyc-2.0-r2.apk 25-Oct-2024 20:34 42K
py3-colored-1.4.4-r3.apk 25-Oct-2024 20:34 15K
py3-colored-pyc-1.4.4-r3.apk 25-Oct-2024 20:34 17K
py3-colorthief-0.2.1-r1.apk 25-Oct-2024 20:34 7489
py3-colorthief-pyc-0.2.1-r1.apk 25-Oct-2024 20:34 10K
py3-columnize-0.3.11-r4.apk 25-Oct-2024 20:34 8731
py3-columnize-pyc-0.3.11-r4.apk 25-Oct-2024 20:34 7660
py3-compdb-0.2.0-r8.apk 25-Oct-2024 20:34 23K
py3-compdb-doc-0.2.0-r8.apk 25-Oct-2024 20:34 3110
py3-compdb-pyc-0.2.0-r8.apk 25-Oct-2024 20:34 40K
py3-confluent-kafka-1.8.2-r5.apk 25-Oct-2024 20:34 103K
py3-confluent-kafka-pyc-1.8.2-r5.apk 25-Oct-2024 20:34 77K
py3-cookiecutter-2.6.0-r1.apk 25-Oct-2024 20:34 35K
py3-cookiecutter-doc-2.6.0-r1.apk 25-Oct-2024 20:34 3813
py3-cookiecutter-pyc-2.6.0-r1.apk 25-Oct-2024 20:34 47K
py3-coreapi-2.3.3-r9.apk 25-Oct-2024 20:34 22K
py3-coreapi-pyc-2.3.3-r9.apk 25-Oct-2024 20:34 43K
py3-crc16-0.1.1-r10.apk 25-Oct-2024 20:34 12K
py3-crc16-pyc-0.1.1-r10.apk 25-Oct-2024 20:34 4820
py3-createrepo_c-1.1.4-r0.apk 25-Oct-2024 20:34 48K
py3-createrepo_c-pyc-1.1.4-r0.apk 25-Oct-2024 20:34 15K
py3-cssutils-2.11.1-r1.apk 25-Oct-2024 20:34 155K
py3-cssutils-pyc-2.11.1-r1.apk 25-Oct-2024 20:34 279K
py3-cucumber-tag-expressions-6.0.0-r1.apk 25-Oct-2024 20:34 8692
py3-cucumber-tag-expressions-pyc-6.0.0-r1.apk 25-Oct-2024 20:34 10K
py3-cvxpy-1.2.1-r5.apk 25-Oct-2024 20:34 696K
py3-cvxpy-pyc-1.2.1-r5.apk 25-Oct-2024 20:34 936K
py3-cython-test-exception-raiser-1.0.2-r0.apk 25-Oct-2024 20:34 18K
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk 25-Oct-2024 20:34 1872
py3-dataclasses-json-0.6.7-r0.apk 25-Oct-2024 20:34 27K
py3-dataclasses-json-pyc-0.6.7-r0.apk 25-Oct-2024 20:34 36K
py3-dataclasses-serialization-1.3.1-r3.apk 25-Oct-2024 20:34 11K
py3-dataclasses-serialization-pyc-1.3.1-r3.apk 25-Oct-2024 20:34 14K
py3-daterangestr-0.0.3-r8.apk 25-Oct-2024 20:34 4458
py3-daterangestr-pyc-0.0.3-r8.apk 25-Oct-2024 20:34 4323
py3-dbus-fast-2.24.4-r0.apk 16-Nov-2024 22:30 594K
py3-dbus-fast-doc-2.24.4-r0.apk 16-Nov-2024 22:30 5495
py3-dbus-fast-pyc-2.24.4-r0.apk 16-Nov-2024 22:30 126K
py3-deluge-client-1.10.2-r0.apk 25-Oct-2024 20:34 13K
py3-deluge-client-doc-1.10.2-r0.apk 25-Oct-2024 20:34 2311
py3-deluge-client-pyc-1.10.2-r0.apk 25-Oct-2024 20:34 20K
py3-dep-logic-0.4.9-r0.apk 25-Oct-2024 20:34 28K
py3-dep-logic-pyc-0.4.9-r0.apk 25-Oct-2024 20:34 53K
py3-dexml-0.5.1-r9.apk 25-Oct-2024 20:34 22K
py3-dexml-pyc-0.5.1-r9.apk 25-Oct-2024 20:34 37K
py3-discid-1.2.0-r6.apk 25-Oct-2024 20:34 24K
py3-discid-pyc-1.2.0-r6.apk 25-Oct-2024 20:34 13K
py3-diskcache-5.6.3-r2.apk 25-Oct-2024 20:34 42K
py3-diskcache-pyc-5.6.3-r2.apk 25-Oct-2024 20:34 67K
py3-distorm3-3.5.2-r6.apk 25-Oct-2024 20:34 47K
py3-distorm3-pyc-3.5.2-r6.apk 25-Oct-2024 20:34 49K
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk 25-Oct-2024 20:34 15K
py3-django-compress-staticfiles-pyc-1.0.1_beta0..> 25-Oct-2024 20:34 15K
py3-django-suit-0.2.28-r8.apk 25-Oct-2024 20:34 366K
py3-django-suit-pyc-0.2.28-r8.apk 25-Oct-2024 20:34 32K
py3-django-taggit-serializer-0.1.7-r8.apk 25-Oct-2024 20:34 4120
py3-django-taggit-serializer-pyc-0.1.7-r8.apk 25-Oct-2024 20:34 5077
py3-django-tenants-3.7.0-r0.apk 25-Oct-2024 20:34 80K
py3-django-tenants-pyc-3.7.0-r0.apk 25-Oct-2024 20:34 123K
py3-dnslib-0.9.25-r0.apk 25-Oct-2024 20:34 52K
py3-dnslib-pyc-0.9.25-r0.apk 25-Oct-2024 20:34 109K
py3-dogpile.cache-1.3.3-r0.apk 25-Oct-2024 20:34 53K
py3-dogpile.cache-pyc-1.3.3-r0.apk 25-Oct-2024 20:34 90K
py3-doit-0.36.0-r5.apk 25-Oct-2024 20:34 77K
py3-doit-pyc-0.36.0-r5.apk 25-Oct-2024 20:34 133K
py3-dominate-2.9.1-r1.apk 25-Oct-2024 20:34 25K
py3-dominate-pyc-2.9.1-r1.apk 25-Oct-2024 20:34 34K
py3-dotty-dict-1.3.1-r4.apk 25-Oct-2024 20:34 8601
py3-dotty-dict-pyc-1.3.1-r4.apk 25-Oct-2024 20:34 8891
py3-downloader-cli-0.3.4-r1.apk 25-Oct-2024 20:34 12K
py3-downloader-cli-pyc-0.3.4-r1.apk 25-Oct-2024 20:34 14K
py3-dpath-2.2.0-r0.apk 25-Oct-2024 20:34 17K
py3-dpath-pyc-2.2.0-r0.apk 25-Oct-2024 20:34 18K
py3-drf-yasg-1.21.7-r2.apk 25-Oct-2024 20:34 4M
py3-drf-yasg-pyc-1.21.7-r2.apk 25-Oct-2024 20:34 97K
py3-dt-schema-2024.11-r0.apk 11-Nov-2024 18:06 78K
py3-dt-schema-pyc-2024.11-r0.apk 11-Nov-2024 18:06 50K
py3-dunamai-1.22.0-r0.apk 25-Oct-2024 20:34 26K
py3-dunamai-pyc-1.22.0-r0.apk 25-Oct-2024 20:34 43K
py3-duniterpy-1.1.1-r3.apk 25-Oct-2024 20:34 221K
py3-dweepy-0.3.0-r7.apk 25-Oct-2024 20:34 9303
py3-dweepy-pyc-0.3.0-r7.apk 25-Oct-2024 20:34 6409
py3-ecos-2.0.11-r4.apk 25-Oct-2024 20:34 28K
py3-ecos-pyc-2.0.11-r4.apk 25-Oct-2024 20:34 3717
py3-edalize-0.5.4-r0.apk 25-Oct-2024 20:34 123K
py3-edalize-pyc-0.5.4-r0.apk 25-Oct-2024 20:34 190K
py3-editdistance-s-1.0.0-r6.apk 25-Oct-2024 20:34 15K
py3-editdistance-s-pyc-1.0.0-r6.apk 25-Oct-2024 20:34 2074
py3-empy-3.3.4-r7.apk 25-Oct-2024 20:34 39K
py3-empy-pyc-3.3.4-r7.apk 25-Oct-2024 20:34 58K
py3-enzyme-0.5.1-r0.apk 25-Oct-2024 20:34 23K
py3-enzyme-pyc-0.5.1-r0.apk 25-Oct-2024 20:34 19K
py3-eradicate-2.3.0-r2.apk 25-Oct-2024 20:34 7749
py3-eradicate-doc-2.3.0-r2.apk 25-Oct-2024 20:34 2579
py3-eradicate-pyc-2.3.0-r2.apk 25-Oct-2024 20:34 8548
py3-euclid3-0.01-r8.apk 25-Oct-2024 20:34 14K
py3-euclid3-pyc-0.01-r8.apk 25-Oct-2024 20:34 33K
py3-eventlet-0.36.1-r0.apk 25-Oct-2024 20:34 334K
py3-eventlet-pyc-0.36.1-r0.apk 25-Oct-2024 20:34 336K
py3-evohome-client-0.3.7-r4.apk 25-Oct-2024 20:34 19K
py3-evohome-client-pyc-0.3.7-r4.apk 25-Oct-2024 20:34 27K
py3-falcon-3.1.3-r0.apk 25-Oct-2024 20:34 2M
py3-falcon-pyc-3.1.3-r0.apk 25-Oct-2024 20:34 337K
py3-fastapi-0.111.0-r0.apk 25-Oct-2024 20:34 83K
py3-fastapi-pyc-0.111.0-r0.apk 25-Oct-2024 20:34 142K
py3-fastavro-1.9.7-r0.apk 25-Oct-2024 20:34 424K
py3-fastavro-pyc-1.9.7-r0.apk 25-Oct-2024 20:34 83K
py3-fastdiff-0.3.0-r5.apk 25-Oct-2024 20:34 38K
py3-fastdiff-pyc-0.3.0-r5.apk 25-Oct-2024 20:34 4302
py3-feedgen-1.0.0-r1.apk 25-Oct-2024 20:34 40K
py3-feedgen-pyc-1.0.0-r1.apk 25-Oct-2024 20:34 62K
py3-feedgenerator-2.1.0-r2.apk 25-Oct-2024 20:34 18K
py3-feedgenerator-pyc-2.1.0-r2.apk 25-Oct-2024 20:34 27K
py3-ffmpeg-0.2.0-r4.apk 25-Oct-2024 20:34 24K
py3-ffmpeg-pyc-0.2.0-r4.apk 25-Oct-2024 20:34 33K
py3-findpython-0.6.2-r0.apk 25-Oct-2024 20:34 17K
py3-findpython-pyc-0.6.2-r0.apk 25-Oct-2024 20:34 30K
py3-firmata-1.0.3-r10.apk 25-Oct-2024 20:34 14K
py3-firmata-pyc-1.0.3-r10.apk 25-Oct-2024 20:34 21K
py3-flake8-blind-except-0.2.1-r4.apk 25-Oct-2024 20:34 5330
py3-flake8-blind-except-pyc-0.2.1-r4.apk 25-Oct-2024 20:34 2648
py3-flake8-builtins-2.1.0-r3.apk 25-Oct-2024 20:34 14K
py3-flake8-builtins-pyc-2.1.0-r3.apk 25-Oct-2024 20:34 7169
py3-flake8-copyright-0.2.4-r3.apk 25-Oct-2024 20:34 18K
py3-flake8-copyright-pyc-0.2.4-r3.apk 25-Oct-2024 20:34 3416
py3-flake8-debugger-4.1.2-r4.apk 25-Oct-2024 20:34 6384
py3-flake8-debugger-pyc-4.1.2-r4.apk 25-Oct-2024 20:34 6107
py3-flake8-import-order-0.18.2-r4.apk 25-Oct-2024 20:34 15K
py3-flake8-import-order-pyc-0.18.2-r4.apk 25-Oct-2024 20:34 17K
py3-flake8-isort-6.1.1-r1.apk 25-Oct-2024 20:34 18K
py3-flake8-isort-pyc-6.1.1-r1.apk 25-Oct-2024 20:34 5500
py3-flake8-polyfill-1.0.2-r5.apk 25-Oct-2024 20:34 7236
py3-flake8-polyfill-pyc-1.0.2-r5.apk 25-Oct-2024 20:34 5830
py3-flake8-print-5.0.0-r5.apk 25-Oct-2024 20:34 6882
py3-flake8-print-pyc-5.0.0-r5.apk 25-Oct-2024 20:34 4513
py3-flake8-snippets-0.2-r8.apk 25-Oct-2024 20:34 5452
py3-flake8-snippets-pyc-0.2-r8.apk 25-Oct-2024 20:34 3759
py3-flake8-todo-0.7-r7.apk 25-Oct-2024 20:34 3686
py3-flake8-todo-pyc-0.7-r7.apk 25-Oct-2024 20:34 2280
py3-flask-accept-0.0.6-r1.apk 25-Oct-2024 20:34 5108
py3-flask-accept-pyc-0.0.6-r1.apk 25-Oct-2024 20:34 3789
py3-flask-admin-1.6.1-r3.apk 25-Oct-2024 20:34 7M
py3-flask-admin-pyc-1.6.1-r3.apk 25-Oct-2024 20:34 358K
py3-flask-autorouter-0.2.2-r3.apk 25-Oct-2024 20:34 5209
py3-flask-autorouter-pyc-0.2.2-r3.apk 25-Oct-2024 20:34 5067
py3-flask-basicauth-0.2.0-r9.apk 25-Oct-2024 20:34 5464
py3-flask-basicauth-pyc-0.2.0-r9.apk 25-Oct-2024 20:34 4175
py3-flask-bcrypt-1.0.1-r5.apk 25-Oct-2024 20:34 7316
py3-flask-bcrypt-pyc-1.0.1-r5.apk 25-Oct-2024 20:34 5943
py3-flask-bootstrap-3.3.7.1-r8.apk 25-Oct-2024 20:34 450K
py3-flask-bootstrap-pyc-3.3.7.1-r8.apk 25-Oct-2024 20:34 11K
py3-flask-cache-0.13.1-r9.apk 25-Oct-2024 20:34 13K
py3-flask-cache-pyc-0.13.1-r9.apk 25-Oct-2024 20:34 18K
py3-flask-cdn-1.5.3-r8.apk 25-Oct-2024 20:34 4878
py3-flask-cdn-pyc-1.5.3-r8.apk 25-Oct-2024 20:34 4176
py3-flask-components-0.1.1-r9.apk 25-Oct-2024 20:34 4024
py3-flask-components-pyc-0.1.1-r9.apk 25-Oct-2024 20:34 3383
py3-flask-dbconfig-0.3.12-r8.apk 25-Oct-2024 20:34 86K
py3-flask-dbconfig-pyc-0.3.12-r8.apk 25-Oct-2024 20:34 6403
py3-flask-flatpages-0.8.2-r2.apk 25-Oct-2024 20:34 11K
py3-flask-flatpages-pyc-0.8.2-r2.apk 25-Oct-2024 20:34 13K
py3-flask-gzip-0.2-r8.apk 25-Oct-2024 20:34 3259
py3-flask-gzip-pyc-0.2-r8.apk 25-Oct-2024 20:34 2898
py3-flask-headers-1.0-r9.apk 25-Oct-2024 20:34 3278
py3-flask-headers-pyc-1.0-r9.apk 25-Oct-2024 20:34 2510
py3-flask-httpauth-4.8.0-r2.apk 25-Oct-2024 20:34 8176
py3-flask-httpauth-pyc-4.8.0-r2.apk 25-Oct-2024 20:34 11K
py3-flask-json-schema-0.0.5-r4.apk 25-Oct-2024 20:34 4192
py3-flask-json-schema-pyc-0.0.5-r4.apk 25-Oct-2024 20:34 3517
py3-flask-limiter-3.7.0-r1.apk 25-Oct-2024 20:34 27K
py3-flask-limiter-pyc-3.7.0-r1.apk 25-Oct-2024 20:34 48K
py3-flask-loopback-1.4.7-r7.apk 25-Oct-2024 20:34 5705
py3-flask-loopback-pyc-1.4.7-r7.apk 25-Oct-2024 20:34 8115
py3-flask-mailman-1.1.1-r0.apk 25-Oct-2024 20:34 16K
py3-flask-mailman-pyc-1.1.1-r0.apk 25-Oct-2024 20:34 26K
py3-flask-markdown-0.3-r8.apk 25-Oct-2024 20:34 5750
py3-flask-markdown-pyc-0.3-r8.apk 25-Oct-2024 20:34 3952
py3-flask-migrate-4.0.7-r0.apk 25-Oct-2024 20:34 13K
py3-flask-migrate-pyc-4.0.7-r0.apk 25-Oct-2024 20:34 18K
py3-flask-paginate-0.8.1-r6.apk 25-Oct-2024 20:34 8406
py3-flask-paginate-pyc-0.8.1-r6.apk 25-Oct-2024 20:34 11K
py3-flask-peewee-3.0.6-r0.apk 25-Oct-2024 20:34 172K
py3-flask-peewee-pyc-3.0.6-r0.apk 25-Oct-2024 20:34 95K
py3-flask-qrcode-3.1.0-r4.apk 25-Oct-2024 20:34 18K
py3-flask-qrcode-pyc-3.1.0-r4.apk 25-Oct-2024 20:34 6348
py3-flask-restaction-0.25.3-r8.apk 25-Oct-2024 20:34 115K
py3-flask-restaction-pyc-0.25.3-r8.apk 25-Oct-2024 20:34 20K
py3-flask-restless-0.17.0-r9.apk 25-Oct-2024 20:34 40K
py3-flask-restless-pyc-0.17.0-r9.apk 25-Oct-2024 20:34 59K
py3-flask-security-5.4.3-r2.apk 25-Oct-2024 20:34 267K
py3-flask-security-pyc-5.4.3-r2.apk 25-Oct-2024 20:34 211K
py3-flask-themer-2.0.0-r2.apk 25-Oct-2024 20:34 8083
py3-flask-themer-pyc-2.0.0-r2.apk 25-Oct-2024 20:34 7140
py3-forbiddenfruit-0.1.4-r2.apk 25-Oct-2024 20:34 9177
py3-forbiddenfruit-pyc-0.1.4-r2.apk 25-Oct-2024 20:34 9887
py3-fpdf-1.7.2-r5.apk 25-Oct-2024 20:34 40K
py3-fpdf-pyc-1.7.2-r5.apk 25-Oct-2024 20:34 89K
py3-freetype-py-2.5.1-r0.apk 25-Oct-2024 20:34 161K
py3-funcparserlib-1.0.1-r4.apk 25-Oct-2024 20:34 17K
py3-funcparserlib-pyc-1.0.1-r4.apk 25-Oct-2024 20:34 19K
py3-furl-2.1.3-r4.apk 25-Oct-2024 20:34 21K
py3-furl-pyc-2.1.3-r4.apk 25-Oct-2024 20:34 32K
py3-fuzzywuzzy-0.18.0-r7.apk 25-Oct-2024 20:34 18K
py3-fuzzywuzzy-pyc-0.18.0-r7.apk 25-Oct-2024 20:34 15K
py3-geoip-1.3.2-r4.apk 25-Oct-2024 20:34 23K
py3-gevent-websocket-0.10.1-r8.apk 25-Oct-2024 20:34 20K
py3-gevent-websocket-pyc-0.10.1-r8.apk 25-Oct-2024 20:34 30K
py3-git-versioner-7.1-r1.apk 25-Oct-2024 20:34 12K
py3-git-versioner-pyc-7.1-r1.apk 25-Oct-2024 20:34 14K
py3-github3-4.0.1-r1.apk 25-Oct-2024 20:34 128K
py3-github3-pyc-4.0.1-r1.apk 25-Oct-2024 20:34 227K
py3-glob2-0.7-r6.apk 25-Oct-2024 20:34 10K
py3-glob2-pyc-0.7-r6.apk 25-Oct-2024 20:34 13K
py3-gls-1.3.1-r1.apk 25-Oct-2024 20:34 47K
py3-gls-pyc-1.3.1-r1.apk 25-Oct-2024 20:34 84K
py3-google-trans-new-1.1.9-r2.apk 25-Oct-2024 20:34 9451
py3-google-trans-new-pyc-1.1.9-r2.apk 25-Oct-2024 20:34 11K
py3-googletrans-3.0.0-r5.apk 25-Oct-2024 20:34 15K
py3-googletrans-pyc-3.0.0-r5.apk 25-Oct-2024 20:34 17K
py3-grequests-0.7.0-r2.apk 25-Oct-2024 20:34 7012
py3-grequests-pyc-0.7.0-r2.apk 25-Oct-2024 20:34 6000
py3-halo-0.0.31-r5.apk 25-Oct-2024 20:34 11K
py3-halo-pyc-0.0.31-r5.apk 25-Oct-2024 20:34 14K
py3-hatch-openzim-0.2.0-r0.apk 25-Oct-2024 20:34 25K
py3-hatch-openzim-bootstrap-0.1.0-r0.apk 25-Oct-2024 20:34 24K
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk 25-Oct-2024 20:34 13K
py3-hatch-openzim-pyc-0.2.0-r0.apk 25-Oct-2024 20:34 13K
py3-helper-2.5.0-r5.apk 25-Oct-2024 20:34 19K
py3-helper-pyc-2.5.0-r5.apk 25-Oct-2024 20:34 28K
py3-hfst-3.16.0-r2.apk 25-Oct-2024 20:34 386K
py3-hg-git-1.1.1-r1.apk 25-Oct-2024 20:34 70K
py3-hg-git-pyc-1.1.1-r1.apk 25-Oct-2024 20:34 107K
py3-highctidh-1.0.2024050500-r0.apk 25-Oct-2024 20:34 397K
py3-highctidh-pyc-1.0.2024050500-r0.apk 25-Oct-2024 20:34 12K
py3-hishel-0.0.33-r0.apk 25-Oct-2024 20:34 34K
py3-hishel-pyc-0.0.33-r0.apk 25-Oct-2024 20:34 73K
py3-html5-parser-0.4.12-r1.apk 25-Oct-2024 20:34 184K
py3-html5-parser-pyc-0.4.12-r1.apk 25-Oct-2024 20:34 22K
py3-hurry.filesize-0.9-r8.apk 25-Oct-2024 20:34 4720
py3-hurry.filesize-pyc-0.9-r8.apk 25-Oct-2024 20:34 3334
py3-imageio-ffmpeg-0.4.9-r1.apk 25-Oct-2024 20:34 16K
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk 25-Oct-2024 20:34 20K
py3-imdbpy-2021.4.18-r5.apk 25-Oct-2024 20:34 229K
py3-imdbpy-pyc-2021.4.18-r5.apk 25-Oct-2024 20:34 243K
py3-incoming-0.3.1-r8.apk 25-Oct-2024 20:34 13K
py3-incoming-pyc-0.3.1-r8.apk 25-Oct-2024 20:34 20K
py3-infinity-1.5-r6.apk 25-Oct-2024 20:34 4488
py3-infinity-pyc-1.5-r6.apk 25-Oct-2024 20:34 3829
py3-iniparse-0.5-r7.apk 25-Oct-2024 20:34 19K
py3-iniparse-doc-0.5-r7.apk 25-Oct-2024 20:34 10K
py3-iniparse-pyc-0.5-r7.apk 25-Oct-2024 20:34 25K
py3-intervals-0.9.2-r5.apk 25-Oct-2024 20:34 9675
py3-intervals-pyc-0.9.2-r5.apk 25-Oct-2024 20:34 15K
py3-invoke-2.2.0-r2.apk 25-Oct-2024 20:34 147K
py3-invoke-pyc-2.2.0-r2.apk 25-Oct-2024 20:34 231K
py3-irc-20.4.1-r0.apk 25-Oct-2024 20:34 41K
py3-irc-pyc-20.4.1-r0.apk 25-Oct-2024 20:34 71K
py3-iso639-lang-2.2.3-r0.apk 25-Oct-2024 20:34 269K
py3-iso639-lang-pyc-2.2.3-r0.apk 25-Oct-2024 20:34 9930
py3-itemadapter-0.8.0-r3.apk 25-Oct-2024 20:34 12K
py3-itemadapter-pyc-0.8.0-r3.apk 25-Oct-2024 20:34 13K
py3-itemloaders-1.3.2-r0.apk 25-Oct-2024 20:34 13K
py3-itemloaders-pyc-1.3.2-r0.apk 25-Oct-2024 20:34 17K
py3-iterable-io-1.0.0-r0.apk 25-Oct-2024 20:34 6183
py3-iterable-io-pyc-1.0.0-r0.apk 25-Oct-2024 20:34 5378
py3-itunespy-1.6-r4.apk 25-Oct-2024 20:34 10K
py3-itunespy-pyc-1.6-r4.apk 25-Oct-2024 20:34 15K
py3-janus-1.1.0-r0.apk 30-Oct-2024 05:59 12K
py3-janus-pyc-1.1.0-r0.apk 30-Oct-2024 05:59 13K
py3-jaraco.logging-3.3.0-r0.apk 25-Oct-2024 20:34 6169
py3-jaraco.logging-pyc-3.3.0-r0.apk 25-Oct-2024 20:34 5987
py3-jaraco.path-3.7.2-r0.apk 25-Oct-2024 20:34 7805
py3-jaraco.path-pyc-3.7.2-r0.apk 25-Oct-2024 20:34 9751
py3-jaraco.stream-3.0.3-r0.apk 25-Oct-2024 20:34 7105
py3-jaraco.stream-pyc-3.0.3-r0.apk 25-Oct-2024 20:34 8393
py3-jaraco.vcs-2.4.0-r0.apk 25-Oct-2024 20:34 10K
py3-jaraco.vcs-pyc-2.4.0-r0.apk 25-Oct-2024 20:34 15K
py3-jaraco.versioning-1.1.0-r0.apk 25-Oct-2024 20:34 6052
py3-jaraco.versioning-pyc-1.1.0-r0.apk 25-Oct-2024 20:34 6251
py3-jsonschema417-4.17.3-r1.apk 25-Oct-2024 20:34 73K
py3-jsonschema417-pyc-4.17.3-r1.apk 25-Oct-2024 20:34 125K
py3-junit-xml-1.9-r3.apk 25-Oct-2024 20:34 8540
py3-junit-xml-pyc-1.9-r3.apk 25-Oct-2024 20:34 9540
py3-kazoo-0_git20211202-r4.apk 25-Oct-2024 20:34 125K
py3-kazoo-pyc-0_git20211202-r4.apk 25-Oct-2024 20:34 245K
py3-keepalive-0.5-r5.apk 25-Oct-2024 20:34 9182
py3-keepalive-doc-0.5-r5.apk 25-Oct-2024 20:34 2039
py3-keepalive-pyc-0.5-r5.apk 25-Oct-2024 20:34 13K
py3-kerberos-1.3.1-r5.apk 25-Oct-2024 20:34 18K
py3-landlock-1.0.0_pre4-r2.apk 25-Oct-2024 20:34 8609
py3-landlock-pyc-1.0.0_pre4-r2.apk 25-Oct-2024 20:34 9750
py3-langcodes-3.3.0-r2.apk 25-Oct-2024 20:34 174K
py3-langcodes-pyc-3.3.0-r2.apk 25-Oct-2024 20:34 110K
py3-language-data-1.2.0-r0.apk 25-Oct-2024 20:34 5M
py3-language-data-pyc-1.2.0-r0.apk 25-Oct-2024 20:34 3M
py3-latex2mathml-3.77.0-r1.apk 25-Oct-2024 20:34 72K
py3-latex2mathml-pyc-3.77.0-r1.apk 25-Oct-2024 20:34 35K
py3-levenshtein-0.25.1-r2.apk 25-Oct-2024 20:34 225K
py3-levenshtein-pyc-0.25.1-r2.apk 25-Oct-2024 20:34 9662
py3-lib_users-0.15-r4.apk 25-Oct-2024 20:34 16K
py3-lib_users-pyc-0.15-r4.apk 25-Oct-2024 20:34 9736
py3-libguestfs-1.52.0-r1.apk 25-Oct-2024 20:34 196K
py3-libiio-0.25-r2.apk 25-Oct-2024 20:34 13K
py3-liblarch-3.2.0-r5.apk 25-Oct-2024 20:34 30K
py3-liblarch-pyc-3.2.0-r5.apk 25-Oct-2024 20:34 50K
py3-libmdbx-0.10.2-r7.apk 25-Oct-2024 20:34 28K
py3-libmdbx-pyc-0.10.2-r7.apk 25-Oct-2024 20:34 33K
py3-libnacl-2.1.0-r1.apk 25-Oct-2024 20:34 20K
py3-libnacl-pyc-2.1.0-r1.apk 25-Oct-2024 20:34 30K
py3-librtmp-0.3.0-r6.apk 25-Oct-2024 20:34 38K
py3-librtmp-pyc-0.3.0-r6.apk 25-Oct-2024 20:34 25K
py3-limits-3.13.0-r1.apk 25-Oct-2024 20:34 35K
py3-limits-pyc-3.13.0-r1.apk 25-Oct-2024 20:34 72K
py3-linkify-it-py-2.0.3-r1.apk 25-Oct-2024 20:34 21K
py3-linkify-it-py-pyc-2.0.3-r1.apk 25-Oct-2024 20:34 23K
py3-litex-hub-modules-2024.04-r0.apk 25-Oct-2024 20:34 1658
py3-litex-hub-modules-pyc-2024.04-r0.apk 25-Oct-2024 20:34 1M
py3-litex-hub-pythondata-cpu-blackparrot-2024.0..> 25-Oct-2024 20:34 6M
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r..> 25-Oct-2024 20:34 2M
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r..> 25-Oct-2024 20:34 934K
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk 25-Oct-2024 20:34 500K
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk 25-Oct-2024 20:34 10M
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk 25-Oct-2024 20:34 2M
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk 25-Oct-2024 20:34 112K
py3-litex-hub-pythondata-cpu-marocchino-2024.04..> 25-Oct-2024 20:34 208K
py3-litex-hub-pythondata-cpu-microwatt-2024.04-..> 25-Oct-2024 20:34 19M
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0..> 25-Oct-2024 20:34 45K
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk 25-Oct-2024 20:34 221K
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r..> 25-Oct-2024 20:34 7737
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r..> 25-Oct-2024 20:34 230K
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk 25-Oct-2024 20:34 57M
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk 25-Oct-2024 20:34 675K
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r..> 25-Oct-2024 20:34 713K
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024...> 25-Oct-2024 20:34 2M
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0..> 25-Oct-2024 20:34 58K
py3-litex-hub-pythondata-software-compiler_rt-2..> 25-Oct-2024 20:34 2M
py3-litex-hub-pythondata-software-picolibc-2024..> 25-Oct-2024 20:34 5M
py3-litex-hub-valentyusb-2024.04-r0.apk 25-Oct-2024 20:34 112K
py3-livestream-2.0.0-r2.apk 25-Oct-2024 20:34 767K
py3-livestream-pyc-2.0.0-r2.apk 25-Oct-2024 20:34 31K
py3-log-symbols-0.0.14-r5.apk 25-Oct-2024 20:34 4308
py3-log-symbols-pyc-0.0.14-r5.apk 25-Oct-2024 20:34 3143
py3-logfury-1.0.1-r0.apk 25-Oct-2024 20:34 8044
py3-logfury-doc-1.0.1-r0.apk 25-Oct-2024 20:34 2438
py3-logfury-pyc-1.0.1-r0.apk 25-Oct-2024 20:34 7274
py3-logtop-0.7-r0.apk 25-Oct-2024 20:34 22K
py3-logtop-pyc-0.7-r0.apk 25-Oct-2024 20:34 4158
py3-lsprotocol-2023.0.1-r1.apk 25-Oct-2024 20:34 69K
py3-lsprotocol-pyc-2023.0.1-r1.apk 25-Oct-2024 20:34 107K
py3-luhn-0.2.0-r9.apk 25-Oct-2024 20:34 4073
py3-luhn-pyc-0.2.0-r9.apk 25-Oct-2024 20:34 2693
py3-lunr-0.6.2-r4.apk 25-Oct-2024 20:34 32K
py3-lunr-pyc-0.6.2-r4.apk 25-Oct-2024 20:34 51K
py3-ly-0.9.8-r1.apk 25-Oct-2024 20:34 187K
py3-ly-doc-0.9.8-r1.apk 25-Oct-2024 20:34 8286
py3-ly-pyc-0.9.8-r1.apk 25-Oct-2024 20:34 355K
py3-lzo-1.16-r1.apk 25-Oct-2024 20:34 17K
py3-lzo-pyc-1.16-r1.apk 25-Oct-2024 20:34 2014
py3-m2crypto-0.41.0-r2.apk 25-Oct-2024 20:34 213K
py3-m2crypto-pyc-0.41.0-r2.apk 25-Oct-2024 20:34 123K
py3-mando-0.7.1-r3.apk 25-Oct-2024 20:34 22K
py3-mando-doc-0.7.1-r3.apk 25-Oct-2024 20:34 4294
py3-mando-pyc-0.7.1-r3.apk 25-Oct-2024 20:34 36K
py3-manuel-1.12.4-r3.apk 25-Oct-2024 20:34 39K
py3-manuel-pyc-1.12.4-r3.apk 25-Oct-2024 20:34 26K
py3-mapbox-earcut-1.0.1-r2.apk 25-Oct-2024 20:34 62K
py3-marisa-trie-1.2.1-r0.apk 11-Nov-2024 13:12 144K
py3-markdown2-2.5.0-r0.apk 25-Oct-2024 20:34 47K
py3-markdown2-pyc-2.5.0-r0.apk 25-Oct-2024 20:34 75K
py3-marshmallow-3.23.1-r0.apk 01-Nov-2024 22:17 46K
py3-marshmallow-enum-1.5.1-r7.apk 25-Oct-2024 20:34 5421
py3-marshmallow-enum-pyc-1.5.1-r7.apk 25-Oct-2024 20:34 4558
py3-marshmallow-pyc-3.23.1-r0.apk 01-Nov-2024 22:17 82K
py3-mattermostdriver-7.3.2-r0.apk 25-Oct-2024 20:34 21K
py3-mattermostdriver-pyc-7.3.2-r0.apk 25-Oct-2024 20:34 32K
py3-mbedtls-2.10.1-r2.apk 25-Oct-2024 20:34 929K
py3-mbedtls-pyc-2.10.1-r2.apk 25-Oct-2024 20:34 27K
py3-migen-0.9.2-r2.apk 25-Oct-2024 20:34 143K
py3-migen-pyc-0.9.2-r2.apk 25-Oct-2024 20:34 296K
py3-milc-1.9.0-r0.apk 25-Oct-2024 20:34 26K
py3-milc-pyc-1.9.0-r0.apk 25-Oct-2024 20:34 42K
py3-minidb-2.0.8-r0.apk 13-Nov-2024 18:50 10K
py3-minidb-pyc-2.0.8-r0.apk 13-Nov-2024 18:50 23K
py3-minidump-0.0.24-r0.apk 25-Oct-2024 20:34 65K
py3-minidump-pyc-0.0.24-r0.apk 25-Oct-2024 20:34 130K
py3-minijinja-2.4.0-r1.apk 28-Oct-2024 00:32 680K
py3-minikerberos-0.4.4-r1.apk 25-Oct-2024 20:34 129K
py3-minikerberos-pyc-0.4.4-r1.apk 25-Oct-2024 20:34 264K
py3-minio-7.2.0-r1.apk 25-Oct-2024 20:34 68K
py3-minio-pyc-7.2.0-r1.apk 25-Oct-2024 20:34 137K
py3-mistletoe-1.2.1-r2.apk 25-Oct-2024 20:34 44K
py3-mistletoe-pyc-1.2.1-r2.apk 25-Oct-2024 20:34 92K
py3-mnemonic-0.21-r0.apk 25-Oct-2024 20:34 95K
py3-mnemonic-doc-0.21-r0.apk 25-Oct-2024 20:34 2457
py3-mnemonic-pyc-0.21-r0.apk 25-Oct-2024 20:34 9920
py3-modbus-tk-1.1.1-r4.apk 25-Oct-2024 20:34 25K
py3-modbus-tk-pyc-1.1.1-r4.apk 25-Oct-2024 20:34 48K
py3-mopidy-jellyfin-1.0.4-r4.apk 25-Oct-2024 20:34 25K
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk 25-Oct-2024 20:34 37K
py3-mopidy-local-3.2.1-r4.apk 25-Oct-2024 20:34 27K
py3-mopidy-local-pyc-3.2.1-r4.apk 25-Oct-2024 20:34 34K
py3-mopidy-mpd-3.3.0-r4.apk 25-Oct-2024 20:34 46K
py3-mopidy-mpd-pyc-3.3.0-r4.apk 25-Oct-2024 20:34 74K
py3-mopidy-tidal-0.3.2-r6.apk 25-Oct-2024 20:34 25K
py3-mopidy-tidal-pyc-0.3.2-r6.apk 25-Oct-2024 20:34 36K
py3-more-properties-1.1.1-r3.apk 25-Oct-2024 20:34 7616
py3-more-properties-pyc-1.1.1-r3.apk 25-Oct-2024 20:34 8421
py3-msldap-0.5.12-r0.apk 25-Oct-2024 20:34 139K
py3-msldap-pyc-0.5.12-r0.apk 25-Oct-2024 20:34 315K
py3-mss-10.0.0-r0.apk 14-Nov-2024 12:57 51K
py3-mujson-1.4-r0.apk 25-Oct-2024 20:34 8431
py3-mujson-pyc-1.4-r0.apk 25-Oct-2024 20:34 5871
py3-natpmp-1.3.2-r1.apk 25-Oct-2024 20:34 9641
py3-natpmp-pyc-1.3.2-r1.apk 25-Oct-2024 20:34 10K
py3-ncclient-0.6.13-r5.apk 25-Oct-2024 20:34 68K
py3-ncclient-pyc-0.6.13-r5.apk 25-Oct-2024 20:34 107K
py3-netifaces2-0.0.22-r0.apk 25-Oct-2024 20:34 224K
py3-netifaces2-pyc-0.0.22-r0.apk 25-Oct-2024 20:34 9434
py3-netmiko-4.4.0-r0.apk 25-Oct-2024 20:34 176K
py3-netmiko-pyc-4.4.0-r0.apk 25-Oct-2024 20:34 334K
py3-niaarmts-0.1.2-r0.apk 13-Nov-2024 15:45 13K
py3-niaarmts-pyc-0.1.2-r0.apk 13-Nov-2024 15:45 16K
py3-nmap-0.7.1-r4.apk 25-Oct-2024 20:34 20K
py3-nmap-pyc-0.7.1-r4.apk 25-Oct-2024 20:34 25K
py3-nose-timer-1.0.1-r6.apk 25-Oct-2024 20:34 9600
py3-nose-timer-pyc-1.0.1-r6.apk 25-Oct-2024 20:34 10K
py3-notifymail-1.1-r8.apk 25-Oct-2024 20:34 7755
py3-notifymail-pyc-1.1-r8.apk 25-Oct-2024 20:34 5877
py3-nptyping-2.5.0-r3.apk 25-Oct-2024 20:34 21K
py3-nptyping-pyc-2.5.0-r3.apk 25-Oct-2024 20:34 32K
py3-ntplib-0.4.0-r5.apk 25-Oct-2024 20:34 7576
py3-ntplib-pyc-0.4.0-r5.apk 25-Oct-2024 20:34 8834
py3-nwdiag-3.0.0-r3.apk 25-Oct-2024 20:34 5M
py3-nwdiag-pyc-3.0.0-r3.apk 25-Oct-2024 20:34 78K
py3-okonomiyaki-2.0.0-r0.apk 25-Oct-2024 20:34 8M
py3-okonomiyaki-pyc-2.0.0-r0.apk 25-Oct-2024 20:34 243K
py3-openapi-codec-1.3.2-r9.apk 25-Oct-2024 20:34 7833
py3-openapi-codec-pyc-1.3.2-r9.apk 25-Oct-2024 20:34 12K
py3-openapi-core-0.19.4-r0.apk 25-Oct-2024 20:34 67K
py3-openapi-core-pyc-0.19.4-r0.apk 25-Oct-2024 20:34 151K
py3-opendht-3.1.7-r4.apk 11-Nov-2024 17:37 160K
py3-openssh-wrapper-0.5_git20130425-r4.apk 25-Oct-2024 20:34 8444
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk 25-Oct-2024 20:34 10K
py3-openwisp-utils-1.0.4-r4.apk 25-Oct-2024 20:34 498K
py3-openwisp-utils-pyc-1.0.4-r4.apk 25-Oct-2024 20:34 42K
py3-orderedmultidict-1.0.1-r7.apk 25-Oct-2024 20:34 12K
py3-orderedmultidict-pyc-1.0.1-r7.apk 25-Oct-2024 20:34 17K
py3-osqp-0.6.2-r6.apk 25-Oct-2024 20:34 117K
py3-osqp-dev-0.6.2-r6.apk 25-Oct-2024 20:34 49K
py3-osqp-pyc-0.6.2-r6.apk 25-Oct-2024 20:34 77K
py3-ovos-audio-plugin-simple-0.0.1-r0.apk 25-Oct-2024 20:34 10K
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk 25-Oct-2024 20:34 8418
py3-ovos-backend-client-1.0.0-r0.apk 25-Oct-2024 20:34 46K
py3-ovos-backend-client-pyc-1.0.0-r0.apk 25-Oct-2024 20:34 91K
py3-ovos-bus-client-0.1.1-r0.apk 25-Oct-2024 20:34 48K
py3-ovos-bus-client-pyc-0.1.1-r0.apk 25-Oct-2024 20:34 86K
py3-ovos-classifiers-0.0.0_alpha53-r0.apk 25-Oct-2024 20:34 103K
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk 25-Oct-2024 20:34 164K
py3-ovos-config-0.3.1-r0.apk 25-Oct-2024 20:34 47K
py3-ovos-config-pyc-0.3.1-r0.apk 25-Oct-2024 20:34 35K
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk 25-Oct-2024 20:34 358K
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk 25-Oct-2024 20:34 437K
py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk 25-Oct-2024 20:34 9219
py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk 25-Oct-2024 20:34 4871
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk 25-Oct-2024 20:34 550K
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk 25-Oct-2024 20:34 86K
py3-ovos-ocp-files-plugin-0.13.1-r0.apk 25-Oct-2024 20:34 47K
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk 25-Oct-2024 20:34 104K
py3-ovos-phal-plugin-connectivity-events-0.1.0-..> 25-Oct-2024 20:34 9178
py3-ovos-phal-plugin-connectivity-events-pyc-0...> 25-Oct-2024 20:34 5205
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk 25-Oct-2024 20:34 4635
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk 25-Oct-2024 20:34 4481
py3-ovos-phal-plugin-network-manager-1.3.1-r0.apk 25-Oct-2024 20:34 96K
py3-ovos-phal-plugin-network-manager-pyc-1.3.1-..> 25-Oct-2024 20:34 11K
py3-ovos-phal-plugin-oauth-0.1.0-r0.apk 25-Oct-2024 20:34 12K
py3-ovos-phal-plugin-oauth-pyc-0.1.0-r0.apk 25-Oct-2024 20:34 9965
py3-ovos-phal-plugin-system-1.0.0-r0.apk 25-Oct-2024 20:34 15K
py3-ovos-phal-plugin-system-pyc-1.0.0-r0.apk 25-Oct-2024 20:34 9874
py3-ovos-plugin-manager-0.3.1-r0.apk 25-Oct-2024 20:34 91K
py3-ovos-plugin-manager-pyc-0.3.1-r0.apk 25-Oct-2024 20:34 172K
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk 25-Oct-2024 20:34 10K
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk 25-Oct-2024 20:34 6930
py3-ovos-translate-server-plugin-0.0.0-r0.apk 25-Oct-2024 20:34 8534
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk 25-Oct-2024 20:34 4204
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk 25-Oct-2024 20:34 9740
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1..> 25-Oct-2024 20:34 5573
py3-ovos-utils-0.2.1-r0.apk 25-Oct-2024 20:34 66K
py3-ovos-utils-pyc-0.2.1-r0.apk 25-Oct-2024 20:34 116K
py3-ovos-workshop-0.1.3-r0.apk 25-Oct-2024 20:34 92K
py3-ovos-workshop-pyc-0.1.3-r0.apk 25-Oct-2024 20:34 170K
py3-owslib-0.32.0-r0.apk 07-Nov-2024 22:59 193K
py3-owslib-pyc-0.32.0-r0.apk 07-Nov-2024 22:59 421K
py3-pacparser-1.4.5-r1.apk 25-Oct-2024 20:34 427K
py3-pacparser-pyc-1.4.5-r1.apk 25-Oct-2024 20:34 4130
py3-padacioso-0.2.1-r0.apk 25-Oct-2024 20:34 11K
py3-padacioso-pyc-0.2.1-r0.apk 25-Oct-2024 20:34 12K
py3-pam-2.0.2-r2.apk 25-Oct-2024 20:34 11K
py3-pam-pyc-2.0.2-r2.apk 25-Oct-2024 20:34 13K
py3-parse-1.20.2-r0.apk 25-Oct-2024 20:34 20K
py3-parse-pyc-1.20.2-r0.apk 25-Oct-2024 20:34 18K
py3-pathvalidate-3.2.1-r0.apk 25-Oct-2024 20:34 19K
py3-pathvalidate-pyc-3.2.1-r0.apk 25-Oct-2024 20:34 33K
py3-pbkdf2-1.3-r7.apk 25-Oct-2024 20:34 6446
py3-pbkdf2-pyc-1.3-r7.apk 25-Oct-2024 20:34 7244
py3-pbs-installer-2024.10.16-r0.apk 25-Oct-2024 20:34 47K
py3-pbs-installer-pyc-2024.10.16-r0.apk 25-Oct-2024 20:34 54K
py3-pdal-3.4.5-r0.apk 05-Nov-2024 22:01 181K
py3-pdal-pyc-3.4.5-r0.apk 05-Nov-2024 22:01 13K
py3-pelican-4.9.1-r2.apk 25-Oct-2024 20:34 234K
py3-pelican-pyc-4.9.1-r2.apk 25-Oct-2024 20:34 147K
py3-pep8-naming-0.14.1-r0.apk 25-Oct-2024 20:34 10K
py3-pep8-naming-pyc-0.14.1-r0.apk 25-Oct-2024 20:34 13K
py3-phpserialize-1.3-r8.apk 25-Oct-2024 20:34 9063
py3-phpserialize-pyc-1.3-r8.apk 25-Oct-2024 20:34 11K
py3-phx-class-registry-5.0.0-r0.apk 25-Oct-2024 20:34 13K
py3-phx-class-registry-doc-5.0.0-r0.apk 25-Oct-2024 20:34 2277
py3-phx-class-registry-pyc-5.0.0-r0.apk 25-Oct-2024 20:34 17K
py3-piccata-2.0.3-r1.apk 25-Oct-2024 20:34 20K
py3-piccata-pyc-2.0.3-r1.apk 25-Oct-2024 20:34 34K
py3-pickle-secure-0.99.9-r1.apk 25-Oct-2024 20:34 7678
py3-pickle-secure-pyc-0.99.9-r1.apk 25-Oct-2024 20:34 5488
py3-pika-1.3.2-r1.apk 25-Oct-2024 20:34 143K
py3-pika-pyc-1.3.2-r1.apk 25-Oct-2024 20:34 246K
py3-pillow_heif-0.18.0-r0.apk 25-Oct-2024 20:34 46K
py3-pillow_heif-pyc-0.18.0-r0.apk 25-Oct-2024 20:34 36K
py3-pip-system-certs-4.0-r1.apk 25-Oct-2024 20:34 7159
py3-pip-system-certs-pyc-4.0-r1.apk 25-Oct-2024 20:34 4764
py3-pivy-0.6.9-r0.apk 25-Oct-2024 20:34 2M
py3-playsound-1.3.0-r1.apk 25-Oct-2024 20:34 7029
py3-playsound-pyc-1.3.0-r1.apk 25-Oct-2024 20:34 8748
py3-plexapi-4.15.16-r0.apk 25-Oct-2024 20:34 153K
py3-plexapi-doc-4.15.16-r0.apk 25-Oct-2024 20:34 83K
py3-plexapi-pyc-4.15.16-r0.apk 25-Oct-2024 20:34 304K
py3-pltable-1.1.0-r1.apk 13-Nov-2024 06:31 19K
py3-pltable-pyc-1.1.0-r1.apk 13-Nov-2024 06:31 33K
py3-pockethernet-0.7.0-r4.apk 25-Oct-2024 20:34 15K
py3-pockethernet-pyc-0.7.0-r4.apk 25-Oct-2024 20:34 25K
py3-poetry-dynamic-versioning-1.4.1-r0.apk 25-Oct-2024 20:34 19K
py3-poetry-dynamic-versioning-pyc-1.4.1-r0.apk 25-Oct-2024 20:34 24K
py3-poppler-qt5-21.3.0-r1.apk 25-Oct-2024 20:34 144K
py3-pprintpp-0.4.0-r1.apk 25-Oct-2024 20:34 14K
py3-pprintpp-pyc-0.4.0-r1.apk 25-Oct-2024 20:34 16K
py3-print-color-0.4.6-r0.apk 25-Oct-2024 20:34 9076
py3-print-color-doc-0.4.6-r0.apk 25-Oct-2024 20:34 2682
py3-print-color-pyc-0.4.6-r0.apk 25-Oct-2024 20:34 5083
py3-proglog-0.1.10-r2.apk 25-Oct-2024 20:34 7560
py3-proglog-pyc-0.1.10-r2.apk 25-Oct-2024 20:34 10K
py3-protego-0.3.0-r2.apk 25-Oct-2024 20:34 9791
py3-protego-pyc-0.3.0-r2.apk 25-Oct-2024 20:34 12K
py3-proxmoxer-2.1.0-r0.apk 25-Oct-2024 20:34 17K
py3-proxmoxer-pyc-2.1.0-r0.apk 25-Oct-2024 20:34 28K
py3-pure_protobuf-3.1.2-r0.apk 25-Oct-2024 20:34 21K
py3-pure_protobuf-pyc-3.1.2-r0.apk 25-Oct-2024 20:34 38K
py3-puremagic-1.28-r0.apk 25-Oct-2024 20:34 43K
py3-puremagic-pyc-1.28-r0.apk 25-Oct-2024 20:34 11K
py3-py-radix-0.10.0-r10.apk 25-Oct-2024 20:34 20K
py3-py-radix-pyc-0.10.0-r10.apk 25-Oct-2024 20:34 11K
py3-pyatem-0.5.0-r4.apk 25-Oct-2024 20:34 52K
py3-pyatem-pyc-0.5.0-r4.apk 25-Oct-2024 20:34 92K
py3-pyautogui-0.9.53-r5.apk 25-Oct-2024 20:34 35K
py3-pyautogui-pyc-0.9.53-r5.apk 25-Oct-2024 20:34 45K
py3-pybars3-0.9.7-r6.apk 25-Oct-2024 20:34 15K
py3-pybars3-pyc-0.9.7-r6.apk 25-Oct-2024 20:34 17K
py3-pycaption-2.2.15-r0.apk 25-Oct-2024 20:34 360K
py3-pycolorterm-0.2.1-r6.apk 25-Oct-2024 20:34 5585
py3-pycolorterm-pyc-0.2.1-r6.apk 25-Oct-2024 20:34 3815
py3-pycosat-0.6.6-r2.apk 25-Oct-2024 20:34 48K
py3-pydes-2.0.1-r5.apk 25-Oct-2024 20:34 11K
py3-pydes-doc-2.0.1-r5.apk 25-Oct-2024 20:34 3725
py3-pydes-pyc-2.0.1-r5.apk 25-Oct-2024 20:34 13K
py3-pyexmdb-1.11-r1.apk 25-Oct-2024 20:34 120K
py3-pygelbooru-0.5.0-r4.apk 25-Oct-2024 20:34 8345
py3-pygelbooru-pyc-0.5.0-r4.apk 25-Oct-2024 20:34 11K
py3-pygfm-2.0.0-r2.apk 25-Oct-2024 20:34 13K
py3-pygfm-pyc-2.0.0-r2.apk 25-Oct-2024 20:34 13K
py3-pyglm-2.7.3-r0.apk 06-Nov-2024 09:42 1M
py3-pygpgme-0.3.1-r9.apk 25-Oct-2024 20:34 39K
py3-pygpgme-pyc-0.3.1-r9.apk 25-Oct-2024 20:34 5190
py3-pygtail-0.14.0-r3.apk 25-Oct-2024 20:34 15K
py3-pygtail-pyc-0.14.0-r3.apk 25-Oct-2024 20:34 10K
py3-pyinstaller-6.6.0-r0.apk 25-Oct-2024 20:34 2M
py3-pyinstaller-pyc-6.6.0-r0.apk 25-Oct-2024 20:34 502K
py3-pyinstrument-4.7.3-r0.apk 25-Oct-2024 20:34 92K
py3-pyinstrument-pyc-4.7.3-r0.apk 25-Oct-2024 20:34 96K
py3-pyisbn-1.3.1-r3.apk 25-Oct-2024 20:34 20K
py3-pyisbn-pyc-1.3.1-r3.apk 25-Oct-2024 20:34 9632
py3-pylru-1.2.1-r1.apk 25-Oct-2024 20:34 17K
py3-pylru-pyc-1.2.1-r1.apk 25-Oct-2024 20:34 9158
py3-pymaging-0.0.20130908-r10.apk 25-Oct-2024 20:34 18K
py3-pymaging-png-0.0.20130727-r10.apk 25-Oct-2024 20:34 35K
py3-pymaging-png-pyc-0.0.20130727-r10.apk 25-Oct-2024 20:34 52K
py3-pymaging-pyc-0.0.20130908-r10.apk 25-Oct-2024 20:34 32K
py3-pymata-2.20-r4.apk 25-Oct-2024 20:34 22K
py3-pymata-pyc-2.20-r4.apk 25-Oct-2024 20:34 29K
py3-pymata4-1.15-r4.apk 25-Oct-2024 20:34 23K
py3-pymata4-pyc-1.15-r4.apk 25-Oct-2024 20:34 31K
py3-pymeta3-0.5.1-r6.apk 25-Oct-2024 20:34 17K
py3-pymeta3-pyc-0.5.1-r6.apk 25-Oct-2024 20:34 32K
py3-pymsgbox-1.0.9-r5.apk 25-Oct-2024 20:34 9379
py3-pymsgbox-pyc-1.0.9-r5.apk 25-Oct-2024 20:34 10K
py3-pymsteams-0.2.3-r1.apk 25-Oct-2024 20:34 12K
py3-pymsteams-pyc-0.2.3-r1.apk 25-Oct-2024 20:34 6738
py3-pynest2d-5.2.2-r4.apk 25-Oct-2024 20:34 272K
py3-pyparted-3.13.0-r1.apk 25-Oct-2024 20:34 82K
py3-pyparted-pyc-3.13.0-r1.apk 25-Oct-2024 20:34 42K
py3-pypubsub-4.0.3-r0.apk 25-Oct-2024 20:34 52K
py3-pypubsub-doc-4.0.3-r0.apk 25-Oct-2024 20:34 2192
py3-pypubsub-pyc-4.0.3-r0.apk 25-Oct-2024 20:34 90K
py3-pyqrcode-1.2.1-r0.apk 25-Oct-2024 20:34 37K
py3-pyqrcode-doc-1.2.1-r0.apk 25-Oct-2024 20:34 4406
py3-pyqrcode-pyc-1.2.1-r0.apk 25-Oct-2024 20:34 47K
py3-pyrad-2.4-r1.apk 25-Oct-2024 20:34 29K
py3-pyrad-pyc-2.4-r1.apk 25-Oct-2024 20:34 56K
py3-pyrebase-3.0.27-r5.apk 25-Oct-2024 20:34 9855
py3-pyrebase-pyc-3.0.27-r5.apk 25-Oct-2024 20:34 18K
py3-pyroma-4.2-r0.apk 25-Oct-2024 20:34 22K
py3-pyroma-pyc-4.2-r0.apk 25-Oct-2024 20:34 26K
py3-pyscreeze-0.1.29-r3.apk 25-Oct-2024 20:34 14K
py3-pyscreeze-pyc-0.1.29-r3.apk 25-Oct-2024 20:34 14K
py3-pysequoia-0.1.20-r3.apk 25-Oct-2024 20:34 2M
py3-pysequoia-pyc-0.1.20-r3.apk 25-Oct-2024 20:34 1928
py3-pyseto-1.17.4-r1.apk 14-Nov-2024 09:02 55K
py3-pyseto-pyc-1.17.4-r1.apk 14-Nov-2024 09:02 42K
py3-pysimplesoap-1.16.2-r7.apk 25-Oct-2024 20:34 44K
py3-pysimplesoap-pyc-1.16.2-r7.apk 25-Oct-2024 20:34 78K
py3-pysonic-1.0.1-r1.apk 25-Oct-2024 20:34 35K
py3-pysonic-pyc-1.0.1-r1.apk 25-Oct-2024 20:34 32K
py3-pyspinel-1.0.3-r1.apk 25-Oct-2024 20:34 56K
py3-pyspinel-pyc-1.0.3-r1.apk 25-Oct-2024 20:34 63K
py3-pysrt-1.1.2-r4.apk 25-Oct-2024 20:34 26K
py3-pysrt-pyc-1.1.2-r4.apk 25-Oct-2024 20:34 23K
py3-pystache-0.6.5-r1.apk 25-Oct-2024 20:34 68K
py3-pystache-pyc-0.6.5-r1.apk 25-Oct-2024 20:34 97K
py3-pysubs2-1.7.3-r0.apk 25-Oct-2024 20:34 33K
py3-pysubs2-pyc-1.7.3-r0.apk 25-Oct-2024 20:34 58K
py3-pytaglib-1.5.0-r3.apk 25-Oct-2024 20:34 37K
py3-pytaglib-pyc-1.5.0-r3.apk 25-Oct-2024 20:34 3065
py3-pytap2-2.3.0-r0.apk 25-Oct-2024 20:34 7137
py3-pytap2-doc-2.3.0-r0.apk 25-Oct-2024 20:34 2864
py3-pytap2-pyc-2.3.0-r0.apk 25-Oct-2024 20:34 6130
py3-pyte-0.8.2-r2.apk 25-Oct-2024 20:34 30K
py3-pyte-pyc-0.8.2-r2.apk 25-Oct-2024 20:34 39K
py3-pytest-expect-1.1.0-r10.apk 25-Oct-2024 20:34 6038
py3-pytest-expect-pyc-1.1.0-r10.apk 25-Oct-2024 20:34 7122
py3-pytest-home-0.6.0-r0.apk 25-Oct-2024 20:34 4644
py3-pytest-home-pyc-0.6.0-r0.apk 25-Oct-2024 20:34 2865
py3-pytest-html-4.1.1-r1.apk 25-Oct-2024 20:34 22K
py3-pytest-html-pyc-4.1.1-r1.apk 25-Oct-2024 20:34 22K
py3-pytest-metadata-3.1.1-r0.apk 25-Oct-2024 20:34 10K
py3-pytest-metadata-pyc-3.1.1-r0.apk 25-Oct-2024 20:34 8085
py3-pytest-regtest-2.3.2-r0.apk 13-Nov-2024 22:47 16K
py3-pytest-regtest-pyc-2.3.2-r0.apk 13-Nov-2024 22:47 30K
py3-pytest-subprocess-1.5.2-r0.apk 25-Oct-2024 20:34 20K
py3-pytest-subprocess-pyc-1.5.2-r0.apk 25-Oct-2024 20:34 25K
py3-python-archive-0.2-r7.apk 25-Oct-2024 20:34 7573
py3-python-archive-pyc-0.2-r7.apk 25-Oct-2024 20:34 9573
py3-python-iptables-1.0.1-r1.apk 25-Oct-2024 20:34 39K
py3-python-iptables-pyc-1.0.1-r1.apk 25-Oct-2024 20:34 68K
py3-python-jose-3.3.0-r2.apk 25-Oct-2024 20:34 29K
py3-python-jose-doc-3.3.0-r2.apk 25-Oct-2024 20:34 3212
py3-python-jose-pyc-3.3.0-r2.apk 25-Oct-2024 20:34 49K
py3-python-logstash-0.4.8-r4.apk 25-Oct-2024 20:34 8806
py3-python-logstash-doc-0.4.8-r4.apk 25-Oct-2024 20:34 2312
py3-python-logstash-pyc-0.4.8-r4.apk 25-Oct-2024 20:34 8616
py3-python-stdnum-1.20-r0.apk 25-Oct-2024 20:34 806K
py3-python-stdnum-pyc-1.20-r0.apk 25-Oct-2024 20:34 293K
py3-pyvcd-0.4.1-r0.apk 11-Nov-2024 21:46 23K
py3-pyvcd-pyc-0.4.1-r0.apk 11-Nov-2024 21:46 40K
py3-pyzor-1.0.0-r11.apk 25-Oct-2024 20:34 40K
py3-pyzor-pyc-1.0.0-r11.apk 25-Oct-2024 20:34 54K
py3-qasync-0.19.0-r2.apk 25-Oct-2024 20:34 37K
py3-qbittorrent-api-2024.10.68-r0.apk 07-Nov-2024 20:03 57K
py3-qbittorrent-api-doc-2024.10.68-r0.apk 07-Nov-2024 20:03 30K
py3-qbittorrent-api-pyc-2024.10.68-r0.apk 07-Nov-2024 20:03 94K
py3-qdldl-0.1.5-r4.apk 25-Oct-2024 20:34 103K
py3-qpageview-0.6.2-r1.apk 25-Oct-2024 20:34 98K
py3-qpageview-doc-0.6.2-r1.apk 25-Oct-2024 20:34 56K
py3-qpageview-pyc-0.6.2-r1.apk 25-Oct-2024 20:34 180K
py3-qt.py-1.3.10-r1.apk 25-Oct-2024 20:34 33K
py3-qt.py-pyc-1.3.10-r1.apk 25-Oct-2024 20:34 25K
py3-quebra-frases-0.3.7-r1.apk 25-Oct-2024 20:34 9028
py3-quebra-frases-pyc-0.3.7-r1.apk 25-Oct-2024 20:34 8002
py3-queuelib-1.7.0-r0.apk 25-Oct-2024 20:34 13K
py3-queuelib-pyc-1.7.0-r0.apk 25-Oct-2024 20:34 25K
py3-rabbit-1.1.0-r8.apk 25-Oct-2024 20:34 11K
py3-rabbit-pyc-1.1.0-r8.apk 25-Oct-2024 20:34 15K
py3-radon-6.0.1-r2.apk 25-Oct-2024 20:34 32K
py3-radon-doc-6.0.1-r2.apk 25-Oct-2024 20:34 5262
py3-radon-pyc-6.0.1-r2.apk 25-Oct-2024 20:34 50K
py3-rapidjson-1.12-r1.apk 25-Oct-2024 20:34 136K
py3-recommonmark-0.7.1-r4.apk 25-Oct-2024 20:34 12K
py3-recommonmark-pyc-0.7.1-r4.apk 25-Oct-2024 20:34 18K
py3-recurring-ical-events-3.3.3-r0.apk 02-Nov-2024 09:59 28K
py3-recurring-ical-events-pyc-3.3.3-r0.apk 02-Nov-2024 09:59 28K
py3-redmine-2.5.0-r0.apk 25-Oct-2024 20:34 37K
py3-redmine-pyc-2.5.0-r0.apk 25-Oct-2024 20:34 54K
py3-remind-0.19.1-r0.apk 25-Oct-2024 20:34 25K
py3-remind-pyc-0.19.1-r0.apk 25-Oct-2024 20:34 23K
py3-requests-kerberos-0.14.0-r4.apk 25-Oct-2024 20:34 12K
py3-requests-kerberos-pyc-0.14.0-r4.apk 25-Oct-2024 20:34 11K
py3-requests-wsgi-adapter-0.4.1-r1.apk 25-Oct-2024 20:34 5648
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk 25-Oct-2024 20:34 6754
py3-rfc-bibtex-0.3.2-r7.apk 25-Oct-2024 20:34 13K
py3-rfc-bibtex-pyc-0.3.2-r7.apk 25-Oct-2024 20:34 12K
py3-rfc3987-1.3.8-r6.apk 25-Oct-2024 20:34 21K
py3-rfc3987-pyc-1.3.8-r6.apk 25-Oct-2024 20:34 11K
py3-rich-click-1.7.3-r1.apk 25-Oct-2024 20:34 31K
py3-rich-click-pyc-1.7.3-r1.apk 25-Oct-2024 20:34 40K
py3-riotctrl-0.5.0-r4.apk 25-Oct-2024 20:34 13K
py3-riotctrl-pyc-0.5.0-r4.apk 25-Oct-2024 20:34 11K
py3-rosdistro-0.9.0-r3.apk 25-Oct-2024 20:34 47K
py3-rosdistro-pyc-0.9.0-r3.apk 25-Oct-2024 20:34 91K
py3-rospkg-1.2.9-r5.apk 25-Oct-2024 20:34 29K
py3-rospkg-pyc-1.2.9-r5.apk 25-Oct-2024 20:34 54K
py3-rpio-0.10.1-r8.apk 25-Oct-2024 20:34 37K
py3-rpio-pyc-0.10.1-r8.apk 25-Oct-2024 20:34 16K
py3-rst-0.1-r9.apk 25-Oct-2024 20:34 5693
py3-rst-pyc-0.1-r9.apk 25-Oct-2024 20:34 6255
py3-rst.linker-2.6.0-r0.apk 25-Oct-2024 20:34 6240
py3-rst.linker-pyc-2.6.0-r0.apk 25-Oct-2024 20:34 6805
py3-rst2ansi-0.1.5-r0.apk 25-Oct-2024 20:34 12K
py3-rst2ansi-doc-0.1.5-r0.apk 25-Oct-2024 20:34 2291
py3-rst2ansi-pyc-0.1.5-r0.apk 25-Oct-2024 20:34 24K
py3-schema-0.7.5-r4.apk 25-Oct-2024 20:34 18K
py3-schema-pyc-0.7.5-r4.apk 25-Oct-2024 20:34 18K
py3-scour-0.38.2-r1.apk 25-Oct-2024 20:34 56K
py3-scour-pyc-0.38.2-r1.apk 25-Oct-2024 20:34 74K
py3-scrapy-2.11.1-r1.apk 25-Oct-2024 20:34 240K
py3-scrapy-pyc-2.11.1-r1.apk 25-Oct-2024 20:34 482K
py3-scs-3.2.3-r4.apk 25-Oct-2024 20:34 119K
py3-scs-pyc-3.2.3-r4.apk 25-Oct-2024 20:34 5041
py3-seqdiag-3.0.0-r5.apk 25-Oct-2024 20:34 2M
py3-seqdiag-pyc-3.0.0-r5.apk 25-Oct-2024 20:34 42K
py3-setuptools-lint-0.6.0-r9.apk 25-Oct-2024 20:34 5558
py3-setuptools-lint-pyc-0.6.0-r9.apk 25-Oct-2024 20:34 6151
py3-sh-2.1.0-r0.apk 01-Nov-2024 19:39 38K
py3-sh-pyc-2.1.0-r0.apk 01-Nov-2024 19:39 55K
py3-shodan-1.31.0-r1.apk 25-Oct-2024 20:34 44K
py3-shodan-doc-1.31.0-r1.apk 25-Oct-2024 20:34 7375
py3-shodan-pyc-1.31.0-r1.apk 25-Oct-2024 20:34 79K
py3-simber-0.2.6-r4.apk 25-Oct-2024 20:34 12K
py3-simber-pyc-0.2.6-r4.apk 25-Oct-2024 20:34 16K
py3-simplematch-1.4-r1.apk 25-Oct-2024 20:34 8191
py3-simplematch-pyc-1.4-r1.apk 25-Oct-2024 20:34 5940
py3-simplesat-0.8.2-r0.apk 25-Oct-2024 20:34 214K
py3-simplesat-pyc-0.8.2-r0.apk 25-Oct-2024 20:34 157K
py3-simplesoapy-1.5.1-r7.apk 25-Oct-2024 20:34 8054
py3-simplesoapy-pyc-1.5.1-r7.apk 25-Oct-2024 20:34 12K
py3-simplespectral-1.0.0-r5.apk 25-Oct-2024 20:34 7683
py3-simplespectral-pyc-1.0.0-r5.apk 25-Oct-2024 20:34 8273
py3-slidge-style-parser-0.1.8-r0.apk 25-Oct-2024 20:34 238K
py3-slidge-style-parser-pyc-0.1.8-r0.apk 25-Oct-2024 20:34 1990
py3-slixmpp-1.8.5-r2.apk 25-Oct-2024 20:34 384K
py3-slixmpp-doc-1.8.5-r2.apk 25-Oct-2024 20:34 5948
py3-slixmpp-pyc-1.8.5-r2.apk 25-Oct-2024 20:34 729K
py3-snapshottest-0.6.0-r5.apk 25-Oct-2024 20:34 15K
py3-snapshottest-pyc-0.6.0-r5.apk 25-Oct-2024 20:34 26K
py3-soappy-0.52.28-r3.apk 25-Oct-2024 20:34 48K
py3-soappy-pyc-0.52.28-r3.apk 25-Oct-2024 20:34 96K
py3-soapy_power-1.6.1-r5.apk 25-Oct-2024 20:34 17K
py3-soapy_power-pyc-1.6.1-r5.apk 25-Oct-2024 20:34 27K
py3-solidpython-1.1.2-r2.apk 25-Oct-2024 20:34 79K
py3-solidpython-pyc-1.1.2-r2.apk 25-Oct-2024 20:34 120K
py3-sortedcollections-2.1.0-r5.apk 25-Oct-2024 20:34 11K
py3-sortedcollections-pyc-2.1.0-r5.apk 25-Oct-2024 20:34 14K
py3-spake2-0.9-r0.apk 25-Oct-2024 20:34 30K
py3-spake2-pyc-0.9-r0.apk 25-Oct-2024 20:34 44K
py3-sphinx-argparse-0.5.2-r0.apk 25-Oct-2024 20:34 14K
py3-sphinx-argparse-pyc-0.5.2-r0.apk 25-Oct-2024 20:34 22K
py3-sphinx-autoapi-3.3.3-r0.apk 31-Oct-2024 23:19 31K
py3-sphinx-autoapi-pyc-3.3.3-r0.apk 31-Oct-2024 23:19 57K
py3-sphinx-autodoc-typehints-2.4.3-r0.apk 25-Oct-2024 20:34 20K
py3-sphinx-autodoc-typehints-pyc-2.4.3-r0.apk 25-Oct-2024 20:34 28K
py3-sphinx-theme-better-0.1.5-r7.apk 25-Oct-2024 20:34 11K
py3-sphinx-theme-better-pyc-0.1.5-r7.apk 25-Oct-2024 20:34 2033
py3-sphinx-theme-bootstrap-0.8.1-r4.apk 25-Oct-2024 20:34 1M
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk 25-Oct-2024 20:34 2399
py3-sphinx-theme-bw-0.1.8-r7.apk 25-Oct-2024 20:34 65K
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk 25-Oct-2024 20:34 1875
py3-sphinx-theme-cloud-1.10.0-r2.apk 25-Oct-2024 20:34 81K
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk 25-Oct-2024 20:34 43K
py3-sphinx-theme-epfl-1.1.1-r9.apk 25-Oct-2024 20:34 30K
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk 25-Oct-2024 20:34 2532
py3-sphinx-theme-guzzle-0.7.11-r7.apk 25-Oct-2024 20:34 2M
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk 25-Oct-2024 20:34 5638
py3-sphinx-theme-readable-1.3.0-r9.apk 25-Oct-2024 20:34 9025
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk 25-Oct-2024 20:34 2264
py3-sphinxcontrib-actdiag-3.0.0-r4.apk 25-Oct-2024 20:34 7818
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk 25-Oct-2024 20:34 9503
py3-sphinxcontrib-adadomain-0.2-r9.apk 25-Oct-2024 20:34 9223
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk 25-Oct-2024 20:34 12K
py3-sphinxcontrib-bitbucket-1.0-r8.apk 25-Oct-2024 20:34 5817
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk 25-Oct-2024 20:34 4183
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk 25-Oct-2024 20:34 7726
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk 25-Oct-2024 20:34 9372
py3-sphinxcontrib-cacoo-2.0.0-r7.apk 25-Oct-2024 20:34 5871
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk 25-Oct-2024 20:34 4352
py3-sphinxcontrib-cartouche-1.1.2-r7.apk 25-Oct-2024 20:34 18K
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk 25-Oct-2024 20:34 34K
py3-sphinxcontrib-doxylink-1.12.2-r2.apk 25-Oct-2024 20:34 13K
py3-sphinxcontrib-doxylink-pyc-1.12.2-r2.apk 25-Oct-2024 20:34 16K
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk 25-Oct-2024 20:34 9337
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk 25-Oct-2024 20:34 3565
py3-sphinxcontrib-gist-0.1.0-r9.apk 25-Oct-2024 20:34 4006
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk 25-Oct-2024 20:34 3413
py3-sphinxcontrib-git-11.0.0-r7.apk 25-Oct-2024 20:34 17K
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk 25-Oct-2024 20:34 6781
py3-sphinxcontrib-gravatar-0.1.2-r8.apk 25-Oct-2024 20:34 8052
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk 25-Oct-2024 20:34 7802
py3-sphinxcontrib-htsql-0.1.5-r8.apk 25-Oct-2024 20:34 11K
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk 25-Oct-2024 20:34 15K
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk 25-Oct-2024 20:34 18K
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk 25-Oct-2024 20:34 4435
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk 25-Oct-2024 20:34 34K
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1..> 25-Oct-2024 20:34 21K
py3-sphinxcontrib-hydomain-pyc-0.1.0_git2023093..> 25-Oct-2024 20:34 44K
py3-sphinxcontrib-inheritance-0.9.0-r9.apk 25-Oct-2024 20:34 11K
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk 25-Oct-2024 20:34 15K
py3-sphinxcontrib-issuetracker-0.11-r7.apk 25-Oct-2024 20:34 11K
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk 25-Oct-2024 20:34 12K
py3-sphinxcontrib-lassodomain-0.4-r8.apk 25-Oct-2024 20:34 8117
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk 25-Oct-2024 20:34 11K
py3-sphinxcontrib-manpage-0.6-r8.apk 25-Oct-2024 20:34 4237
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk 25-Oct-2024 20:34 3226
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk 25-Oct-2024 20:34 8925
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk 25-Oct-2024 20:34 11K
py3-sphinxcontrib-phpdomain-0.12.0-r0.apk 25-Oct-2024 20:34 11K
py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk 25-Oct-2024 20:34 17K
py3-sphinxcontrib-programoutput-0.17-r5.apk 25-Oct-2024 20:34 16K
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk 25-Oct-2024 20:34 24K
py3-sphinxcontrib-restbuilder-0.3-r6.apk 25-Oct-2024 20:34 11K
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk 25-Oct-2024 20:34 20K
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk 25-Oct-2024 20:34 7831
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk 25-Oct-2024 20:34 9522
py3-sphinxcontrib-slide-1.0.0-r3.apk 25-Oct-2024 20:34 5118
py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk 25-Oct-2024 20:34 5683
py3-sphinxcontrib-spelling-8.0.0-r3.apk 25-Oct-2024 20:34 15K
py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk 25-Oct-2024 20:34 19K
py3-sphinxcontrib-sqltable-2.0.0-r8.apk 25-Oct-2024 20:34 7519
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk 25-Oct-2024 20:34 5046
py3-sphinxcontrib-textstyle-0.2.3-r8.apk 25-Oct-2024 20:34 6256
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk 25-Oct-2024 20:34 5789
py3-sphobjinv-2.3.1.1-r0.apk 25-Oct-2024 20:34 40K
py3-sphobjinv-pyc-2.3.1.1-r0.apk 25-Oct-2024 20:34 52K
py3-spidev-3.6-r1.apk 25-Oct-2024 20:34 15K
py3-spin-0.8-r0.apk 25-Oct-2024 20:34 19K
py3-spin-pyc-0.8-r0.apk 25-Oct-2024 20:34 24K
py3-spinners-0.0.24-r5.apk 25-Oct-2024 20:34 6237
py3-spinners-pyc-0.0.24-r5.apk 25-Oct-2024 20:34 6405
py3-spnego-0.10.2-r1.apk 25-Oct-2024 20:34 119K
py3-spnego-pyc-0.10.2-r1.apk 25-Oct-2024 20:34 219K
py3-spotipy-2.24.0-r1.apk 25-Oct-2024 20:34 30K
py3-spotipy-pyc-2.24.0-r1.apk 25-Oct-2024 20:34 50K
py3-sstash-0.17-r9.apk 25-Oct-2024 20:34 7910
py3-sstash-pyc-0.17-r9.apk 25-Oct-2024 20:34 10K
py3-stringcase-1.2.0-r8.apk 25-Oct-2024 20:34 4907
py3-stringcase-pyc-1.2.0-r8.apk 25-Oct-2024 20:34 3986
py3-svgpath-6.3-r3.apk 25-Oct-2024 20:34 17K
py3-svgpath-pyc-6.3-r3.apk 25-Oct-2024 20:34 22K
py3-swagger-ui-bundle-1.1.0-r1.apk 25-Oct-2024 20:34 2M
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk 25-Oct-2024 20:34 2157
py3-synapse-auto-accept-invite-1.2.0-r0.apk 25-Oct-2024 20:34 10K
py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk 25-Oct-2024 20:34 5733
py3-tailer-0.4.1-r7.apk 25-Oct-2024 20:34 7034
py3-tailer-pyc-0.4.1-r7.apk 25-Oct-2024 20:34 6794
py3-tasklib-2.5.1-r2.apk 25-Oct-2024 20:34 23K
py3-tasklib-pyc-2.5.1-r2.apk 25-Oct-2024 20:34 52K
py3-telegram-bot-21.6-r0.apk 25-Oct-2024 20:34 453K
py3-telegram-bot-pyc-21.6-r0.apk 25-Oct-2024 20:34 714K
py3-telegram-text-0.2.0-r1.apk 25-Oct-2024 20:34 9411
py3-telegram-text-pyc-0.2.0-r1.apk 25-Oct-2024 20:34 13K
py3-telemetrix-1.20-r3.apk 25-Oct-2024 20:34 21K
py3-telemetrix-pyc-1.20-r3.apk 25-Oct-2024 20:34 31K
py3-teletype-1.3.4-r3.apk 25-Oct-2024 20:34 15K
py3-teletype-pyc-1.3.4-r3.apk 25-Oct-2024 20:34 21K
py3-testresources-2.0.1-r6.apk 25-Oct-2024 20:34 17K
py3-testresources-pyc-2.0.1-r6.apk 25-Oct-2024 20:34 16K
py3-textual-0.85.2-r0.apk 17-Nov-2024 17:02 532K
py3-textual-pyc-0.85.2-r0.apk 17-Nov-2024 17:02 1M
py3-thefuzz-0.22.1-r1.apk 25-Oct-2024 20:34 10K
py3-thefuzz-pyc-0.22.1-r1.apk 25-Oct-2024 20:34 9162
py3-ticket-auth-0.1.4-r9.apk 25-Oct-2024 20:34 6094
py3-ticket-auth-pyc-0.1.4-r9.apk 25-Oct-2024 20:34 6522
py3-tidalapi-0.7.4-r1.apk 25-Oct-2024 20:34 36K
py3-tidalapi-pyc-0.7.4-r1.apk 25-Oct-2024 20:34 62K
py3-timeago-1.0.16-r0.apk 25-Oct-2024 20:34 24K
py3-timeago-doc-1.0.16-r0.apk 25-Oct-2024 20:34 2916
py3-timeago-pyc-1.0.16-r0.apk 25-Oct-2024 20:34 28K
py3-tls_parser-2.0.1-r1.apk 25-Oct-2024 20:34 9402
py3-tls_parser-pyc-2.0.1-r1.apk 25-Oct-2024 20:34 17K
py3-tlslite-ng-0.7.6-r8.apk 25-Oct-2024 20:34 179K
py3-tlslite-ng-pyc-0.7.6-r8.apk 25-Oct-2024 20:34 275K
py3-tpm2-pytss-2.3.0-r1.apk 25-Oct-2024 20:34 341K
py3-tpm2-pytss-pyc-2.3.0-r1.apk 25-Oct-2024 20:34 236K
py3-transitions-0.9.2-r0.apk 25-Oct-2024 20:34 98K
py3-transitions-pyc-0.9.2-r0.apk 25-Oct-2024 20:34 129K
py3-translationstring-1.4-r4.apk 25-Oct-2024 20:34 9371
py3-translationstring-pyc-1.4-r4.apk 25-Oct-2024 20:34 8979
py3-trivup-0.12.2-r2.apk 25-Oct-2024 20:34 34K
py3-trivup-pyc-0.12.2-r2.apk 25-Oct-2024 20:34 55K
py3-truststore-0.10.0-r0.apk 25-Oct-2024 20:34 17K
py3-truststore-pyc-0.10.0-r0.apk 25-Oct-2024 20:34 25K
py3-twiggy-0.5.1-r4.apk 25-Oct-2024 20:34 24K
py3-twiggy-pyc-0.5.1-r4.apk 25-Oct-2024 20:34 39K
py3-typing_inspect-0.9.0-r2.apk 25-Oct-2024 20:34 10K
py3-typing_inspect-pyc-0.9.0-r2.apk 25-Oct-2024 20:34 14K
py3-u-msgpack-2.8.0-r2.apk 25-Oct-2024 20:34 11K
py3-u-msgpack-pyc-2.8.0-r2.apk 25-Oct-2024 20:34 16K
py3-uacme-desec-1.2.1-r0.apk 25-Oct-2024 20:34 5841
py3-uacme-desec-doc-1.2.1-r0.apk 25-Oct-2024 20:34 2247
py3-uacme-desec-pyc-1.2.1-r0.apk 25-Oct-2024 20:34 6935
py3-uc-micro-py-1.0.2-r1.apk 25-Oct-2024 20:34 9284
py3-unearth-0.17.2-r0.apk 25-Oct-2024 20:34 41K
py3-unearth-pyc-0.17.2-r0.apk 25-Oct-2024 20:34 82K
py3-unicrypto-0.0.10-r2.apk 25-Oct-2024 20:34 61K
py3-unicrypto-pyc-0.0.10-r2.apk 25-Oct-2024 20:34 94K
py3-unidns-0.0.1-r2.apk 25-Oct-2024 20:34 14K
py3-unidns-examples-0.0.1-r2.apk 25-Oct-2024 20:34 2761
py3-unidns-pyc-0.0.1-r2.apk 25-Oct-2024 20:34 22K
py3-uptime-3.0.1-r9.apk 25-Oct-2024 20:34 9894
py3-uptime-pyc-3.0.1-r9.apk 25-Oct-2024 20:34 8908
py3-urlobject-2.4.3-r9.apk 25-Oct-2024 20:34 15K
py3-urlobject-pyc-2.4.3-r9.apk 25-Oct-2024 20:34 25K
py3-us-3.2.0-r0.apk 25-Oct-2024 20:34 14K
py3-us-pyc-3.2.0-r0.apk 25-Oct-2024 20:34 15K
py3-utc-0.0.3-r9.apk 25-Oct-2024 20:34 3555
py3-utc-pyc-0.0.3-r9.apk 25-Oct-2024 20:34 2824
py3-vatnumber-1.2-r9.apk 25-Oct-2024 20:34 19K
py3-vatnumber-pyc-1.2-r9.apk 25-Oct-2024 20:34 8833
py3-venusian-3.1.0-r2.apk 25-Oct-2024 20:34 15K
py3-venusian-pyc-3.1.0-r2.apk 25-Oct-2024 20:34 13K
py3-virtualenvwrapper-6.1.0-r1.apk 25-Oct-2024 20:34 22K
py3-virtualenvwrapper-pyc-6.1.0-r1.apk 25-Oct-2024 20:34 12K
py3-visitor-0.1.3-r7.apk 25-Oct-2024 20:34 4735
py3-visitor-pyc-0.1.3-r7.apk 25-Oct-2024 20:34 2685
py3-ward-0.67.0_beta0-r2.apk 25-Oct-2024 20:34 41K
py3-ward-pyc-0.67.0_beta0-r2.apk 25-Oct-2024 20:34 80K
py3-wbdata-1.0.0-r1.apk 25-Oct-2024 20:34 18K
py3-wbdata-pyc-1.0.0-r1.apk 25-Oct-2024 20:34 20K
py3-wg-netns-2.3.1-r1.apk 25-Oct-2024 20:34 7749
py3-wg-netns-pyc-2.3.1-r1.apk 25-Oct-2024 20:34 13K
py3-wgconfig-1.0.3-r0.apk 25-Oct-2024 20:34 23K
py3-wgconfig-pyc-1.0.3-r0.apk 25-Oct-2024 20:34 12K
py3-wifi-0.3.8-r7.apk 25-Oct-2024 20:34 13K
py3-wifi-pyc-0.3.8-r7.apk 25-Oct-2024 20:34 14K
py3-winacl-0.1.9-r0.apk 25-Oct-2024 20:34 84K
py3-winacl-pyc-0.1.9-r0.apk 25-Oct-2024 20:34 132K
py3-wsgiprox-1.5.2-r1.apk 25-Oct-2024 20:34 17K
py3-wsgiprox-pyc-1.5.2-r1.apk 25-Oct-2024 20:34 28K
py3-wstools-0.4.10-r7.apk 25-Oct-2024 20:34 53K
py3-wstools-pyc-0.4.10-r7.apk 25-Oct-2024 20:34 111K
py3-wtf-peewee-3.0.6-r0.apk 25-Oct-2024 20:34 13K
py3-wtf-peewee-pyc-3.0.6-r0.apk 25-Oct-2024 20:34 25K
py3-x-wr-timezone-1.0.1-r0.apk 25-Oct-2024 20:34 11K
py3-x-wr-timezone-pyc-1.0.1-r0.apk 25-Oct-2024 20:34 6760
py3-xapp-2.4.2-r0.apk 12-Nov-2024 11:04 34K
py3-xlwt-1.3.0-r9.apk 25-Oct-2024 20:34 95K
py3-xlwt-pyc-1.3.0-r9.apk 25-Oct-2024 20:34 166K
py3-xsdata-24.11-r0.apk 03-Nov-2024 21:00 180K
py3-xsdata-pyc-24.11-r0.apk 03-Nov-2024 21:00 390K
py3-yapsy-1.12.2-r7.apk 25-Oct-2024 20:34 32K
py3-yapsy-pyc-1.12.2-r7.apk 25-Oct-2024 20:34 47K
py3-yara-4.5.1-r0.apk 25-Oct-2024 20:34 19K
py3-youtube-search-1.6.6-r4.apk 25-Oct-2024 20:34 79K
py3-youtube-search-pyc-1.6.6-r4.apk 25-Oct-2024 20:34 96K
py3-zimscraperlib-3.4.0-r0.apk 06-Nov-2024 09:42 52K
py3-zimscraperlib-pyc-3.4.0-r0.apk 06-Nov-2024 09:42 68K
py3-zipfile2-0.0.12-r0.apk 25-Oct-2024 20:34 45K
py3-zipfile2-pyc-0.0.12-r0.apk 25-Oct-2024 20:34 29K
py3-zope-configuration-5.0.1-r2.apk 25-Oct-2024 20:34 39K
py3-zope-configuration-pyc-5.0.1-r2.apk 25-Oct-2024 20:34 49K
py3-zope-i18nmessageid-6.1.0-r2.apk 25-Oct-2024 20:34 16K
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk 25-Oct-2024 20:34 8180
py3-zope-schema-7.0.1-r3.apk 25-Oct-2024 20:34 45K
py3-zope-schema-pyc-7.0.1-r3.apk 25-Oct-2024 20:34 61K
pyinfra-3.1.1-r0.apk 25-Oct-2024 20:34 176K
pyinfra-pyc-3.1.1-r0.apk 25-Oct-2024 20:34 330K
pympress-1.8.5-r1.apk 25-Oct-2024 20:34 181K
pympress-doc-1.8.5-r1.apk 25-Oct-2024 20:34 348K
pympress-lang-1.8.5-r1.apk 25-Oct-2024 20:34 57K
pympress-pyc-1.8.5-r1.apk 25-Oct-2024 20:34 182K
pyonji-0.1.0-r0.apk 21-Nov-2024 03:01 3M
pypy-7.3.12-r0.apk 25-Oct-2024 20:34 21M
pypy-bootstrap-7.3.12-r0.apk 25-Oct-2024 20:34 22M
pypy-dev-7.3.12-r0.apk 25-Oct-2024 20:34 78K
pypy-tkinter-7.3.12-r0.apk 25-Oct-2024 20:34 454K
pypy3-7.3.12-r0.apk 25-Oct-2024 20:34 20M
pypy3-dev-7.3.12-r0.apk 25-Oct-2024 20:34 565K
pypy3-pyc-7.3.12-r0.apk 25-Oct-2024 20:34 6M
pypy3-tests-7.3.12-r0.apk 25-Oct-2024 20:34 13M
pypy3-tkinter-7.3.12-r0.apk 25-Oct-2024 20:34 302K
pypykatz-0.6.10-r0.apk 25-Oct-2024 20:34 318K
pypykatz-pyc-0.6.10-r0.apk 25-Oct-2024 20:34 708K
pyradio-0.9.3.11-r0.apk 25-Oct-2024 20:34 871K
pyradio-doc-0.9.3.11-r0.apk 25-Oct-2024 20:34 113K
pyradio-pyc-0.9.3.11-r0.apk 25-Oct-2024 20:34 810K
qbittorrent-cli-2.0.0-r6.apk 25-Oct-2024 20:34 5M
qdjango-0.6.2-r1.apk 25-Oct-2024 20:34 106K
qdjango-dev-0.6.2-r1.apk 25-Oct-2024 20:34 14K
qflipper-1.3.3-r1.apk 25-Oct-2024 20:34 533K
qflipper-gui-1.3.3-r1.apk 25-Oct-2024 20:34 1M
qml-box2d-0_git20180406-r0.apk 25-Oct-2024 20:34 143K
qoi-0.0.0_git20230312-r0.apk 25-Oct-2024 20:34 1501
qoi-dev-0.0.0_git20230312-r0.apk 25-Oct-2024 20:34 6997
qoiconv-0.0.0_git20230312-r0.apk 25-Oct-2024 20:34 31K
qpdfview-0.5-r1.apk 25-Oct-2024 20:34 1M
qpdfview-doc-0.5-r1.apk 25-Oct-2024 20:34 4382
qperf-0.4.11-r1.apk 25-Oct-2024 20:34 34K
qperf-doc-0.4.11-r1.apk 25-Oct-2024 20:34 5670
qqc2-suru-style-0.20230206-r1.apk 25-Oct-2024 20:34 174K
qspectrumanalyzer-2.2.0-r5.apk 25-Oct-2024 20:34 54K
qspectrumanalyzer-pyc-2.2.0-r5.apk 25-Oct-2024 20:34 62K
qsstv-9.5.8-r2.apk 25-Oct-2024 20:34 945K
qstardict-2.0.2-r0.apk 25-Oct-2024 20:34 461K
qstardict-doc-2.0.2-r0.apk 25-Oct-2024 20:34 11K
qsynth-1.0.2-r0.apk 25-Oct-2024 20:34 454K
qsynth-doc-1.0.2-r0.apk 25-Oct-2024 20:34 4472
qt-jdenticon-0.3.1-r0.apk 25-Oct-2024 20:34 28K
qt-jdenticon-doc-0.3.1-r0.apk 25-Oct-2024 20:34 2250
qt-wayland-shell-helpers-0.1.1-r3.apk 25-Oct-2024 20:34 14K
qt-wayland-shell-helpers-dev-0.1.1-r3.apk 25-Oct-2024 20:34 4032
qt5ct-1.8-r0.apk 25-Oct-2024 20:34 234K
qt5ct-dev-1.8-r0.apk 25-Oct-2024 20:34 1519
qt6ct-0.9-r2.apk 18-Nov-2024 19:02 199K
qtile-0.23.0-r2.apk 25-Oct-2024 20:34 382K
qtile-pyc-0.23.0-r2.apk 25-Oct-2024 20:34 724K
qtmir-0.7.2-r2.apk 25-Oct-2024 20:34 561K
qtmir-dev-0.7.2-r2.apk 25-Oct-2024 20:34 7021
qtox-1.17.6-r6.apk 25-Oct-2024 20:34 5M
qtpass-1.4.0-r0.apk 25-Oct-2024 20:34 431K
qtpass-doc-1.4.0-r0.apk 25-Oct-2024 20:34 2191
quakespasm-0.96.3-r0.apk 25-Oct-2024 20:34 497K
queercat-1.0.0-r0.apk 25-Oct-2024 20:34 7908
quodlibet-4.6.0-r1.apk 25-Oct-2024 20:34 1M
quodlibet-bash-completion-4.6.0-r1.apk 25-Oct-2024 20:34 4797
quodlibet-doc-4.6.0-r1.apk 25-Oct-2024 20:34 8923
quodlibet-lang-4.6.0-r1.apk 25-Oct-2024 20:34 1M
quodlibet-pyc-4.6.0-r1.apk 25-Oct-2024 20:34 2M
quodlibet-zsh-completion-4.6.0-r1.apk 25-Oct-2024 20:34 2794
racksdb-0.4.0-r0.apk 25-Oct-2024 20:34 59K
racksdb-doc-0.4.0-r0.apk 25-Oct-2024 20:34 18K
racksdb-pyc-0.4.0-r0.apk 25-Oct-2024 20:34 89K
randrctl-1.10.0-r0.apk 17-Nov-2024 21:22 28K
randrctl-pyc-1.10.0-r0.apk 17-Nov-2024 21:22 31K
rapidfuzz-3.0.0-r0.apk 25-Oct-2024 20:34 63K
raspberrypi-usbboot-20210701-r3.apk 25-Oct-2024 20:34 1M
rattler-build-0.18.0-r0.apk 25-Oct-2024 20:34 7M
rattler-build-bash-completion-0.18.0-r0.apk 25-Oct-2024 20:34 3709
rattler-build-doc-0.18.0-r0.apk 25-Oct-2024 20:34 6882
rattler-build-fish-completion-0.18.0-r0.apk 25-Oct-2024 20:34 4831
rattler-build-zsh-completion-0.18.0-r0.apk 25-Oct-2024 20:34 5570
rauc-1.10.1-r0.apk 25-Oct-2024 20:34 148K
rauc-doc-1.10.1-r0.apk 25-Oct-2024 20:34 4270
rauc-service-1.10.1-r0.apk 25-Oct-2024 20:34 3836
razercfg-0.42-r7.apk 25-Oct-2024 20:34 82K
razercfg-gui-0.42-r7.apk 25-Oct-2024 20:34 19K
razercfg-openrc-0.42-r7.apk 25-Oct-2024 20:34 1764
razercfg-pyc-0.42-r7.apk 25-Oct-2024 20:34 36K
rclone-browser-1.8.0-r1.apk 25-Oct-2024 20:34 330K
rcon-cli-1.6.2-r6.apk 25-Oct-2024 20:34 2M
rdedup-3.2.1-r5.apk 25-Oct-2024 20:34 974K
rdrview-0.1.2-r0.apk 25-Oct-2024 20:34 30K
rdrview-doc-0.1.2-r0.apk 25-Oct-2024 20:34 3804
reaction-1.4.1-r1.apk 25-Oct-2024 20:34 2M
reaction-openrc-1.4.1-r1.apk 25-Oct-2024 20:34 1895
reaction-tools-1.4.1-r1.apk 25-Oct-2024 20:34 20K
readosm-1.1.0-r2.apk 25-Oct-2024 20:34 14K
readosm-dev-1.1.0-r2.apk 25-Oct-2024 20:34 19K
reason-3.8.2-r1.apk 25-Oct-2024 20:34 23M
reason-rtop-3.8.2-r1.apk 25-Oct-2024 20:34 24M
recoll-1.37.5-r1.apk 25-Oct-2024 20:34 3M
recoll-dev-1.37.5-r1.apk 25-Oct-2024 20:34 53K
recoll-doc-1.37.5-r1.apk 25-Oct-2024 20:34 21K
reg-0.16.1-r23.apk 25-Oct-2024 20:34 4M
regal-0.28.0-r0.apk 02-Nov-2024 23:01 10M
regal-bash-completion-0.28.0-r0.apk 02-Nov-2024 23:01 5119
regal-fish-completion-0.28.0-r0.apk 02-Nov-2024 23:01 4361
regal-zsh-completion-0.28.0-r0.apk 02-Nov-2024 23:01 4071
regclient-0.7.1-r0.apk 25-Oct-2024 20:34 13M
remake-1.5-r1.apk 25-Oct-2024 20:34 144K
remake-dev-1.5-r1.apk 25-Oct-2024 20:34 3002
remake-doc-1.5-r1.apk 25-Oct-2024 20:34 202K
remake-make-1.5-r1.apk 25-Oct-2024 20:34 1569
remind-caldav-0.8.0-r4.apk 25-Oct-2024 20:34 18K
remind-caldav-pyc-0.8.0-r4.apk 25-Oct-2024 20:34 6285
repgrep-0.15.0-r0.apk 25-Oct-2024 20:34 1M
repgrep-bash-completion-0.15.0-r0.apk 25-Oct-2024 20:34 1678
repgrep-doc-0.15.0-r0.apk 25-Oct-2024 20:34 6647
repgrep-fish-completion-0.15.0-r0.apk 25-Oct-2024 20:34 4249
repgrep-zsh-completion-0.15.0-r0.apk 25-Oct-2024 20:34 1688
repo-2.49.3-r0.apk 09-Nov-2024 22:26 17K
repo-doc-2.49.3-r0.apk 09-Nov-2024 22:26 38K
reprotest-0.7.28-r0.apk 25-Oct-2024 20:34 81K
reprotest-pyc-0.7.28-r0.apk 25-Oct-2024 20:34 103K
responder-3.1.5.0-r0.apk 25-Oct-2024 20:34 750K
restart-services-0.17.0-r0.apk 25-Oct-2024 20:34 12K
restart-services-doc-0.17.0-r0.apk 25-Oct-2024 20:34 6025
restic.mk-0.4.0-r0.apk 25-Oct-2024 20:34 2981
restinio-0.6.19-r0.apk 25-Oct-2024 20:34 1493
restinio-dev-0.6.19-r0.apk 25-Oct-2024 20:34 273K
rgxg-0.1.2-r2.apk 25-Oct-2024 20:34 14K
rgxg-dev-0.1.2-r2.apk 25-Oct-2024 20:34 3610
rgxg-doc-0.1.2-r2.apk 25-Oct-2024 20:34 12K
rhasspy-nlu-0.4.0-r3.apk 25-Oct-2024 20:34 44K
rhasspy-nlu-pyc-0.4.0-r3.apk 25-Oct-2024 20:34 73K
rime-ls-0.4.0-r0.apk 25-Oct-2024 20:34 1M
rinetd-0.73-r0.apk 25-Oct-2024 20:34 15K
rinetd-doc-0.73-r0.apk 25-Oct-2024 20:34 16K
rinetd-openrc-0.73-r0.apk 25-Oct-2024 20:34 1759
ripdrag-0.4.10-r0.apk 25-Oct-2024 20:34 395K
river-luatile-0.1.3-r0.apk 25-Oct-2024 20:34 475K
river-shifttags-0.2.1-r0.apk 25-Oct-2024 20:34 6939
river-shifttags-doc-0.2.1-r0.apk 25-Oct-2024 20:34 2395
rizin-0.6.3-r1.apk 25-Oct-2024 20:34 3M
rizin-cutter-2.3.2-r2.apk 25-Oct-2024 20:34 2M
rizin-cutter-dev-2.3.2-r2.apk 25-Oct-2024 20:34 108K
rizin-dev-0.6.3-r1.apk 25-Oct-2024 20:34 306K
rizin-doc-0.6.3-r1.apk 25-Oct-2024 20:34 18K
rizin-libs-0.6.3-r1.apk 25-Oct-2024 20:34 5M
rkdeveloptool-1.1.0-r1.apk 25-Oct-2024 20:34 57K
rkdeveloptool-doc-1.1.0-r1.apk 25-Oct-2024 20:34 3024
rke-1.4.3-r10.apk 25-Oct-2024 20:35 19M
rke-doc-1.4.3-r10.apk 25-Oct-2024 20:35 3025
rlottie-0.2_git20230831-r0.apk 25-Oct-2024 20:35 169K
rlottie-dev-0.2_git20230831-r0.apk 25-Oct-2024 20:35 9656
rlottie-doc-0.2_git20230831-r0.apk 25-Oct-2024 20:35 13K
rmlint-2.10.2-r2.apk 25-Oct-2024 20:35 146K
rmlint-doc-2.10.2-r2.apk 25-Oct-2024 20:35 18K
rmlint-lang-2.10.2-r2.apk 25-Oct-2024 20:35 19K
rmlint-shredder-2.10.2-r2.apk 25-Oct-2024 20:35 96K
rmlint-shredder-pyc-2.10.2-r2.apk 25-Oct-2024 20:35 124K
rofi-blocks-0.1.0-r0.apk 25-Oct-2024 20:35 13K
rofi-json-menu-0.2.0-r1.apk 25-Oct-2024 20:35 5647
rook-0.2.0-r0.apk 25-Oct-2024 20:35 2M
rook-autotype-0.2.0-r0.apk 25-Oct-2024 20:35 3782
rook-doc-0.2.0-r0.apk 25-Oct-2024 20:35 23K
rook-getattr-0.2.0-r0.apk 25-Oct-2024 20:35 2718
rosdep-0.19.0-r6.apk 25-Oct-2024 20:35 66K
rosdep-pyc-0.19.0-r6.apk 25-Oct-2024 20:35 119K
rosenpass-0.2.2-r0.apk 25-Oct-2024 20:35 1M
roswell-24.10.115-r0.apk 25-Oct-2024 20:35 112K
roswell-doc-24.10.115-r0.apk 25-Oct-2024 20:35 18K
rsstail-2.1-r1.apk 19-Nov-2024 09:51 7947
rsstail-doc-2.1-r1.apk 19-Nov-2024 09:51 2827
rtl-power-fftw-20200601-r4.apk 25-Oct-2024 20:35 60K
rtl-power-fftw-doc-20200601-r4.apk 25-Oct-2024 20:35 8352
rtl88x2bu-src-5.13.1_git20230711-r0.apk 25-Oct-2024 20:35 4M
rtmidi-6.0.0-r0.apk 25-Oct-2024 20:35 31K
rtmidi-dev-6.0.0-r0.apk 25-Oct-2024 20:35 14K
rtptools-1.22-r2.apk 25-Oct-2024 20:35 28K
rtptools-doc-1.22-r2.apk 25-Oct-2024 20:35 13K
rtw89-src-7_p20230725-r0.apk 25-Oct-2024 20:35 759K
ruby-base64-0.2.0-r0.apk 25-Oct-2024 20:35 5330
ruby-build-20241017-r0.apk 29-Oct-2024 11:45 88K
ruby-build-doc-20241017-r0.apk 29-Oct-2024 11:45 4841
ruby-build-runtime-20241017-r0.apk 29-Oct-2024 11:45 1283
ruby-docile-1.4.1-r0.apk 25-Oct-2024 20:35 6102
ruby-docile-doc-1.4.1-r0.apk 25-Oct-2024 20:35 2249
ruby-libguestfs-1.52.0-r1.apk 25-Oct-2024 20:35 115K
ruby-notify-0.5.2-r0.apk 25-Oct-2024 20:35 6211
ruby-notify-doc-0.5.2-r0.apk 25-Oct-2024 20:35 2223
ruby-rainbow-3.1.1-r0.apk 25-Oct-2024 20:35 8369
ruby-simplecov-0.22.0-r0.apk 25-Oct-2024 20:35 31K
ruby-simplecov-cobertura-2.1.0-r0.apk 25-Oct-2024 20:35 2309
ruby-simplecov-doc-0.22.0-r0.apk 25-Oct-2024 20:35 4164
ruby-simplecov-html-0.13.1-r0.apk 25-Oct-2024 20:35 4524
ruby-simplecov-html-doc-0.13.1-r0.apk 25-Oct-2024 20:35 2254
ruby-simplecov_json_formatter-0.1.4-r0.apk 25-Oct-2024 20:35 3585
ruby-simplecov_json_formatter-doc-0.1.4-r0.apk 25-Oct-2024 20:35 2285
ruby-syslog-0.1.2-r0.apk 25-Oct-2024 20:35 8587
ruby-yard-0.9.37-r0.apk 25-Oct-2024 20:35 596K
ruby-yard-doc-0.9.37-r0.apk 25-Oct-2024 20:35 48K
ruby-zstd-ruby-1.5.6.6-r0.apk 14-Nov-2024 13:49 19K
ruff-lsp-0.0.53-r0.apk 25-Oct-2024 20:35 21K
ruff-lsp-pyc-0.0.53-r0.apk 25-Oct-2024 20:35 35K
rust-script-0.35.0-r0.apk 27-Oct-2024 16:30 1M
rustscan-2.3.0-r0.apk 25-Oct-2024 20:35 1M
ruuvi-prometheus-0.1.7-r5.apk 25-Oct-2024 20:35 3M
ruuvi-prometheus-openrc-0.1.7-r5.apk 25-Oct-2024 20:35 1706
rvlprog-0.91-r2.apk 25-Oct-2024 20:35 27K
ry-0.5.2-r1.apk 25-Oct-2024 20:35 4728
ry-bash-completion-0.5.2-r1.apk 25-Oct-2024 20:35 2001
ry-zsh-completion-0.5.2-r1.apk 25-Oct-2024 20:35 2312
s-dkim-sign-0.6.2-r0.apk 25-Oct-2024 20:35 59K
s-dkim-sign-doc-0.6.2-r0.apk 25-Oct-2024 20:35 8728
s-postgray-0.8.3-r0.apk 25-Oct-2024 20:35 48K
s-postgray-doc-0.8.3-r0.apk 25-Oct-2024 20:35 9811
s5cmd-2.2.2-r5.apk 25-Oct-2024 20:35 5M
saait-0.8-r0.apk 25-Oct-2024 20:35 7270
saait-doc-0.8-r0.apk 25-Oct-2024 20:35 13K
sacc-1.07-r0.apk 25-Oct-2024 20:35 16K
sacc-doc-1.07-r0.apk 25-Oct-2024 20:35 2937
sandbar-0.1-r0.apk 25-Oct-2024 20:35 17K
sane-airscan-0.99.29-r0.apk 25-Oct-2024 20:35 203K
sane-airscan-doc-0.99.29-r0.apk 25-Oct-2024 20:35 5793
satellite-1.0.0-r23.apk 25-Oct-2024 20:35 2M
satellite-doc-1.0.0-r23.apk 25-Oct-2024 20:35 3086
satellite-openrc-1.0.0-r23.apk 25-Oct-2024 20:35 1971
sauerbraten-2020.12.29-r3.apk 25-Oct-2024 20:35 934M
sbase-0_git20210730-r3.apk 25-Oct-2024 20:35 125K
sbase-doc-0_git20210730-r3.apk 25-Oct-2024 20:35 58K
sblg-0.5.11-r0.apk 25-Oct-2024 20:35 39K
sblg-doc-0.5.11-r0.apk 25-Oct-2024 20:35 1M
sblim-sfcc-2.2.8-r3.apk 25-Oct-2024 20:35 57K
sblim-sfcc-dev-2.2.8-r3.apk 25-Oct-2024 20:35 22K
sblim-sfcc-doc-2.2.8-r3.apk 25-Oct-2024 20:35 35K
sblim-wbemcli-1.6.3-r1.apk 25-Oct-2024 20:35 99K
sblim-wbemcli-doc-1.6.3-r1.apk 25-Oct-2024 20:35 4638
sc-im-0.8.4-r0.apk 25-Oct-2024 20:35 175K
sc-im-doc-0.8.4-r0.apk 25-Oct-2024 20:35 4858
scaleway-cli-2.32.1-r0.apk 25-Oct-2024 20:35 14M
scaleway-cli-bash-completion-2.32.1-r0.apk 25-Oct-2024 20:35 1844
scaleway-cli-fish-completion-2.32.1-r0.apk 25-Oct-2024 20:35 1747
scaleway-cli-zsh-completion-2.32.1-r0.apk 25-Oct-2024 20:35 1784
scalingo-1.30.0-r5.apk 25-Oct-2024 20:35 5M
scap-workbench-1.2.1-r3.apk 25-Oct-2024 20:35 236K
scap-workbench-doc-1.2.1-r3.apk 25-Oct-2024 20:35 2M
schismtracker-20231029-r0.apk 25-Oct-2024 20:35 406K
schismtracker-doc-20231029-r0.apk 25-Oct-2024 20:35 6393
scooper-1.3-r1.apk 25-Oct-2024 20:35 538K
scooper-doc-1.3-r1.apk 25-Oct-2024 20:35 2654
scratch-1.4.0.7-r1.apk 25-Oct-2024 20:35 39M
scratch-doc-1.4.0.7-r1.apk 25-Oct-2024 20:35 2676
screenkey-1.5-r6.apk 25-Oct-2024 20:35 77K
screenkey-doc-1.5-r6.apk 25-Oct-2024 20:35 11K
screenkey-pyc-1.5-r6.apk 25-Oct-2024 20:35 73K
scrypt-1.3.2-r0.apk 25-Oct-2024 20:35 26K
scrypt-doc-1.3.2-r0.apk 25-Oct-2024 20:35 4387
sct-2018.12.18-r1.apk 25-Oct-2024 20:35 3913
sdl3-3.1.6-r0.apk 02-Nov-2024 20:34 834K
sdl3-dbg-3.1.6-r0.apk 02-Nov-2024 20:34 4M
sdl3-dev-3.1.6-r0.apk 02-Nov-2024 20:34 27M
sdl3-doc-3.1.6-r0.apk 02-Nov-2024 20:34 2118
sdparm-1.12-r1.apk 25-Oct-2024 20:36 158K
sdparm-doc-1.12-r1.apk 25-Oct-2024 20:36 19K
seastar-22.11.0_git20240815-r2.apk 18-Nov-2024 23:40 2M
seastar-dev-22.11.0_git20240815-r2.apk 18-Nov-2024 23:40 416K
seastar-testing-22.11.0_git20240815-r2.apk 18-Nov-2024 23:40 173K
secsipidx-1.3.2-r7.apk 25-Oct-2024 20:36 2M
secsipidx-dev-1.3.2-r7.apk 25-Oct-2024 20:36 5M
secsipidx-libs-1.3.2-r7.apk 25-Oct-2024 20:36 2M
sedutil-1.15.1-r1.apk 25-Oct-2024 20:36 182K
sedutil-doc-1.15.1-r1.apk 25-Oct-2024 20:36 3139
seed7-05.20240322-r0.apk 25-Oct-2024 20:36 10M
seed7-doc-05.20240322-r0.apk 25-Oct-2024 20:36 2M
seed7-nano-05.20240322-r0.apk 25-Oct-2024 20:36 2533
seed7-vim-05.20240322-r0.apk 25-Oct-2024 20:36 4115
sentrypeer-3.0.2-r0.apk 25-Oct-2024 20:36 24K
sentrypeer-doc-3.0.2-r0.apk 25-Oct-2024 20:36 3419
serialdv-1.1.4-r0.apk 25-Oct-2024 20:36 6676
serialdv-dev-1.1.4-r0.apk 25-Oct-2024 20:36 5539
serialdv-libs-1.1.4-r0.apk 25-Oct-2024 20:36 57K
setroot-2.0.2-r1.apk 25-Oct-2024 20:36 12K
setroot-doc-2.0.2-r1.apk 25-Oct-2024 20:36 4549
sflowtool-6.02-r0.apk 25-Oct-2024 20:36 42K
sflowtool-doc-6.02-r0.apk 25-Oct-2024 20:36 9581
sfwbar-1.0_beta16-r0.apk 09-Nov-2024 22:25 292K
sfwbar-doc-1.0_beta16-r0.apk 09-Nov-2024 22:25 26K
sgt-puzzles-0_git20230310-r2.apk 25-Oct-2024 20:36 3M
shadowsocks-libev-3.3.5-r4.apk 25-Oct-2024 20:36 231K
shadowsocks-libev-dev-3.3.5-r4.apk 25-Oct-2024 20:36 3550
shadowsocks-libev-doc-3.3.5-r4.apk 25-Oct-2024 20:36 28K
shc-4.0.3-r2.apk 25-Oct-2024 20:36 21K
shellinabox-2.21-r3.apk 25-Oct-2024 20:36 121K
shellinabox-doc-2.21-r3.apk 25-Oct-2024 20:36 19K
shellinabox-openrc-2.21-r3.apk 25-Oct-2024 20:36 3610
shfm-0.4.2-r1.apk 25-Oct-2024 20:36 4136
shfm-doc-0.4.2-r1.apk 25-Oct-2024 20:36 6290
shine-3.1.1-r0.apk 25-Oct-2024 20:36 56K
shipments-0.3.0-r0.apk 25-Oct-2024 20:36 24K
shntool-3.0.10-r4.apk 25-Oct-2024 20:36 60K
shntool-doc-3.0.10-r4.apk 25-Oct-2024 20:36 10K
shutdown-clear-machine-id-1.0.0-r0.apk 25-Oct-2024 20:36 1851
sigma-0.23.1-r1.apk 25-Oct-2024 20:36 237K
sigma-pyc-0.23.1-r1.apk 25-Oct-2024 20:36 340K
sigrok-cli-0.7.2-r0.apk 25-Oct-2024 20:36 40K
sigrok-cli-doc-0.7.2-r0.apk 25-Oct-2024 20:36 8188
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk 25-Oct-2024 20:36 13K
silc-client-1.1.11-r17.apk 25-Oct-2024 20:36 907K
silc-client-doc-1.1.11-r17.apk 25-Oct-2024 20:36 83K
simgear-2020.3.19-r1.apk 25-Oct-2024 20:36 2M
simgear-dev-2020.3.19-r1.apk 25-Oct-2024 20:36 394K
simh-3.11.1-r1.apk 25-Oct-2024 20:36 3M
simpleble-0.6.1-r1.apk 25-Oct-2024 20:36 1461
simpleble-dev-0.6.1-r1.apk 25-Oct-2024 20:36 21K
sing-box-1.10.1-r0.apk 25-Oct-2024 20:36 11M
sing-box-bash-completion-1.10.1-r0.apk 25-Oct-2024 20:36 5204
sing-box-fish-completion-1.10.1-r0.apk 25-Oct-2024 20:36 4392
sing-box-openrc-1.10.1-r0.apk 25-Oct-2024 20:36 2064
sing-box-zsh-completion-1.10.1-r0.apk 25-Oct-2024 20:36 4095
sing-geoip-20240912-r0.apk 25-Oct-2024 20:36 4M
sing-geosite-20240810010807-r0.apk 25-Oct-2024 20:36 876K
sipexer-1.1.0-r8.apk 25-Oct-2024 20:36 2M
sipgrep-2.2.0-r1.apk 25-Oct-2024 20:36 27K
slidge-0.1.3-r0.apk 25-Oct-2024 20:36 145K
slidge-doc-0.1.3-r0.apk 25-Oct-2024 20:36 4647
slidge-matridge-0.1.0-r0.apk 25-Oct-2024 20:36 30K
slidge-matridge-openrc-0.1.0-r0.apk 25-Oct-2024 20:36 1545
slidge-matridge-pyc-0.1.0-r0.apk 25-Oct-2024 20:36 38K
slidge-openrc-0.1.3-r0.apk 25-Oct-2024 20:36 2373
slidge-pyc-0.1.3-r0.apk 25-Oct-2024 20:36 285K
sloccount-2.26-r3.apk 25-Oct-2024 20:36 61K
sloccount-doc-2.26-r3.apk 25-Oct-2024 20:36 59K
slurm-0.4.4-r0.apk 25-Oct-2024 20:36 14K
slurm-doc-0.4.4-r0.apk 25-Oct-2024 20:36 2315
smassh-3.1.6-r0.apk 21-Nov-2024 02:57 72K
smassh-pyc-3.1.6-r0.apk 21-Nov-2024 02:57 70K
smile-2.9.5-r0.apk 25-Oct-2024 20:36 694K
smile-lang-2.9.5-r0.apk 25-Oct-2024 20:36 24K
smplxmpp-0.9.3-r2.apk 25-Oct-2024 20:36 158K
smplxmpp-doc-0.9.3-r2.apk 25-Oct-2024 20:36 25K
snapper-0.12.0-r0.apk 18-Nov-2024 18:33 997K
snapper-bash-completion-0.12.0-r0.apk 18-Nov-2024 18:33 3121
snapper-dev-0.12.0-r0.apk 18-Nov-2024 18:33 10K
snapper-doc-0.12.0-r0.apk 18-Nov-2024 18:33 25K
snapper-lang-0.12.0-r0.apk 18-Nov-2024 18:33 180K
snapper-zsh-completion-0.12.0-r0.apk 18-Nov-2024 18:33 3594
sndfile-tools-1.5-r1.apk 25-Oct-2024 20:36 41K
sndfile-tools-doc-1.5-r1.apk 25-Oct-2024 20:36 361K
snore-0.3.1-r0.apk 25-Oct-2024 20:36 4523
snore-doc-0.3.1-r0.apk 25-Oct-2024 20:36 3144
snowflake-2.9.2-r4.apk 25-Oct-2024 20:36 10M
snowflake-doc-2.9.2-r4.apk 25-Oct-2024 20:36 2988
so-0.4.10-r0.apk 25-Oct-2024 20:36 2M
soapy-bladerf-0.4.1-r0.apk 25-Oct-2024 20:36 41K
soapy-hackrf-0.3.4-r2.apk 25-Oct-2024 20:36 30K
soapy-sdr-remote-0.5.2-r1.apk 25-Oct-2024 20:36 201K
soapy-sdr-remote-doc-0.5.2-r1.apk 25-Oct-2024 20:36 2431
soapy-sdr-remote-openrc-0.5.2-r1.apk 25-Oct-2024 20:36 1761
solarus-engine-1.7.0-r0.apk 25-Oct-2024 20:36 2M
solarus-engine-doc-1.7.0-r0.apk 25-Oct-2024 20:36 3449
solarus-quest-editor-1.7.0-r0.apk 25-Oct-2024 20:36 55M
somebar-1.0.3-r0.apk 25-Oct-2024 20:36 50K
somebar-doc-1.0.3-r0.apk 25-Oct-2024 20:36 2479
sonar-scanner-6.2.0.4584-r0.apk 31-Oct-2024 23:30 11M
sopwith-2.5.0-r0.apk 25-Oct-2024 20:36 55K
sopwith-doc-2.5.0-r0.apk 25-Oct-2024 20:36 15K
soqt-1.6.0-r1.apk 25-Oct-2024 20:36 246K
soqt-dev-1.6.0-r1.apk 25-Oct-2024 20:36 98K
soqt-doc-1.6.0-r1.apk 25-Oct-2024 20:36 1774
sos-0.8-r26.apk 25-Oct-2024 20:36 2M
soundconverter-4.0.6-r0.apk 12-Nov-2024 15:33 165K
soundconverter-doc-4.0.6-r0.apk 12-Nov-2024 15:33 4587
soundconverter-lang-4.0.6-r0.apk 12-Nov-2024 15:33 107K
soundconverter-pyc-4.0.6-r0.apk 12-Nov-2024 15:33 75K
soundfont-vintage-dreams-waves-2.1-r2.apk 25-Oct-2024 20:36 91K
soundfont-vintage-dreams-waves-doc-2.1-r2.apk 25-Oct-2024 20:36 2218
spacectl-1.0.0-r1.apk 25-Oct-2024 20:36 5M
spacectl-bash-completion-1.0.0-r1.apk 25-Oct-2024 20:36 2091
spacectl-doc-1.0.0-r1.apk 25-Oct-2024 20:36 2334
spacectl-fish-completion-1.0.0-r1.apk 25-Oct-2024 20:36 6202
spacectl-zsh-completion-1.0.0-r1.apk 25-Oct-2024 20:36 1843
spacenavd-1.2-r0.apk 25-Oct-2024 20:36 33K
spampd-2.61-r1.apk 25-Oct-2024 20:36 39K
spampd-openrc-2.61-r1.apk 25-Oct-2024 20:36 2143
spark-2.8.3-r1.apk 25-Oct-2024 20:36 29M
speedcrunch-0.12-r3.apk 25-Oct-2024 20:36 1M
speedtest-5.2.5-r1.apk 25-Oct-2024 20:36 253K
speedtest-doc-5.2.5-r1.apk 25-Oct-2024 20:36 18K
speedtest-examples-5.2.5-r1.apk 25-Oct-2024 20:36 13K
speedtest-go-1.1.5-r10.apk 25-Oct-2024 20:36 5M
speedtest-go-doc-1.1.5-r10.apk 25-Oct-2024 20:36 4602
speedtest-go-openrc-1.1.5-r10.apk 25-Oct-2024 20:36 1766
speedtest_exporter-0.3.2-r10.apk 25-Oct-2024 20:36 4M
speedtest_exporter-openrc-0.3.2-r10.apk 25-Oct-2024 20:36 1928
spice-html5-0.3.0-r1.apk 25-Oct-2024 20:36 438K
spike-1.1.0-r0.apk 25-Oct-2024 20:36 2M
spiped-1.6.2-r1.apk 25-Oct-2024 20:36 72K
spiritvnc-0.6.5-r0.apk 03-Nov-2024 05:10 50K
spnavcfg-1.1-r0.apk 25-Oct-2024 20:36 38K
spread-sheet-widget-0.10-r0.apk 25-Oct-2024 20:36 48K
spread-sheet-widget-dbg-0.10-r0.apk 25-Oct-2024 20:36 195K
spread-sheet-widget-dev-0.10-r0.apk 25-Oct-2024 20:36 372K
spread-sheet-widget-doc-0.10-r0.apk 25-Oct-2024 20:36 4752
sqawk-0.24.0-r0.apk 25-Oct-2024 20:36 14K
sqawk-doc-0.24.0-r0.apk 25-Oct-2024 20:36 113K
sqlar-0_git20180107-r1.apk 25-Oct-2024 20:36 12K
sqlar-doc-0_git20180107-r1.apk 25-Oct-2024 20:36 3388
sqliteodbc-0.99991-r0.apk 25-Oct-2024 20:36 93K
sqlmap-1.8.11-r0.apk 09-Nov-2024 22:25 7M
sqlmap-pyc-1.8.11-r0.apk 09-Nov-2024 22:25 1M
sqm-scripts-1.6.0-r0.apk 25-Oct-2024 20:36 20K
squeak-vm-4.10.2.2614-r1.apk 25-Oct-2024 20:36 502K
squeak-vm-doc-4.10.2.2614-r1.apk 25-Oct-2024 20:36 12K
srain-1.8.0-r0.apk 18-Nov-2024 19:18 165K
srain-lang-1.8.0-r0.apk 18-Nov-2024 19:18 35K
sregex-0.0.1-r1.apk 25-Oct-2024 20:36 22K
sregex-dev-0.0.1-r1.apk 25-Oct-2024 20:36 26K
ssdfs-tools-4.09-r0.apk 25-Oct-2024 20:36 97K
ssdfs-tools-dev-4.09-r0.apk 25-Oct-2024 20:36 18K
ssh-cert-authority-2.0.0-r21.apk 25-Oct-2024 20:36 5M
ssh-honeypot-0.1.1-r1.apk 25-Oct-2024 20:36 9516
ssh-honeypot-openrc-0.1.1-r1.apk 25-Oct-2024 20:36 2105
ssh-tools-1.8-r0.apk 25-Oct-2024 20:36 26K
sshsrv-1.0-r7.apk 25-Oct-2024 20:36 917K
sshuttle-1.1.2-r0.apk 25-Oct-2024 20:36 62K
sshuttle-doc-1.1.2-r0.apk 25-Oct-2024 20:36 8681
sshuttle-pyc-1.1.2-r0.apk 25-Oct-2024 20:36 101K
ssss-0.5.7-r0.apk 25-Oct-2024 20:36 12K
ssss-doc-0.5.7-r0.apk 25-Oct-2024 20:36 3421
stardict-3.0.6-r6.apk 25-Oct-2024 20:36 972K
stardict-doc-3.0.6-r6.apk 25-Oct-2024 20:36 2293
stardict-help-3.0.6-r6.apk 25-Oct-2024 20:36 3M
stardict-lang-3.0.6-r6.apk 25-Oct-2024 20:36 290K
starfighter-2.4-r0.apk 25-Oct-2024 20:36 48M
starfighter-doc-2.4-r0.apk 25-Oct-2024 20:36 22K
startup-2.0.3-r5.apk 25-Oct-2024 20:36 442K
startup-bridge-dconf-2.0.3-r5.apk 25-Oct-2024 20:36 33K
startup-bridge-udev-2.0.3-r5.apk 25-Oct-2024 20:36 32K
startup-dev-2.0.3-r5.apk 25-Oct-2024 20:36 5983
startup-doc-2.0.3-r5.apk 25-Oct-2024 20:36 48K
startup-fish-completion-2.0.3-r5.apk 25-Oct-2024 20:36 5511
startup-lang-2.0.3-r5.apk 25-Oct-2024 20:36 17K
startup-tools-2.0.3-r5.apk 25-Oct-2024 20:36 13K
stayrtr-0.6.1-r0.apk 25-Oct-2024 20:36 10M
stayrtr-openrc-0.6.1-r0.apk 25-Oct-2024 20:36 2042
steghide-0.5.1.1-r0.apk 25-Oct-2024 20:36 155K
steghide-doc-0.5.1.1-r0.apk 25-Oct-2024 20:36 14K
stern-1.31.0-r0.apk 25-Oct-2024 20:36 18M
stern-bash-completion-1.31.0-r0.apk 25-Oct-2024 20:36 5905
stern-fish-completion-1.31.0-r0.apk 25-Oct-2024 20:36 4354
stern-zsh-completion-1.31.0-r0.apk 25-Oct-2024 20:36 4076
sthttpd-2.27.1-r2.apk 25-Oct-2024 20:36 60K
sthttpd-doc-2.27.1-r2.apk 25-Oct-2024 20:36 18K
sthttpd-openrc-2.27.1-r2.apk 25-Oct-2024 20:36 1998
stone-soup-0.32.1-r0.apk 25-Oct-2024 20:36 33M
stw-0.3-r0.apk 25-Oct-2024 20:36 8384
stw-doc-0.3-r0.apk 25-Oct-2024 20:36 2589
subdl-0_git20230616-r1.apk 25-Oct-2024 20:36 8899
subdl-pyc-0_git20230616-r1.apk 25-Oct-2024 20:36 14K
subliminal-2.2.1-r0.apk 25-Oct-2024 20:36 68K
subliminal-pyc-2.2.1-r0.apk 25-Oct-2024 20:36 135K
sudo-ldap-1.9.14-r1.apk 25-Oct-2024 20:36 727K
supermin-5.2.2-r2.apk 25-Oct-2024 20:36 601K
supermin-doc-5.2.2-r2.apk 25-Oct-2024 20:36 9587
surf-2.1-r3.apk 25-Oct-2024 20:36 24K
surf-doc-2.1-r3.apk 25-Oct-2024 20:36 4749
surfraw-2.3.0-r0.apk 25-Oct-2024 20:36 79K
surfraw-doc-2.3.0-r0.apk 25-Oct-2024 20:36 18K
suru-icon-theme-20.05.1_git20221222-r1.apk 25-Oct-2024 20:36 3M
svls-0.2.12-r0.apk 25-Oct-2024 20:36 5M
svls-doc-0.2.12-r0.apk 25-Oct-2024 20:36 2280
swaks-20240103.0-r0.apk 25-Oct-2024 20:36 66K
swaks-doc-20240103.0-r0.apk 25-Oct-2024 20:36 50K
swappy-1.5.1-r0.apk 25-Oct-2024 20:36 30K
swappy-doc-1.5.1-r0.apk 25-Oct-2024 20:36 3783
swappy-lang-1.5.1-r0.apk 25-Oct-2024 20:36 3729
sway-audio-idle-inhibit-0.1.2-r0.apk 25-Oct-2024 20:36 10K
swi-prolog-9.2.8-r0.apk 26-Oct-2024 14:32 5M
swi-prolog-doc-9.2.8-r0.apk 26-Oct-2024 14:32 2M
swi-prolog-pyc-9.2.8-r0.apk 26-Oct-2024 14:32 22K
swi-prolog-xpce-9.2.8-r0.apk 26-Oct-2024 14:32 922K
swi-prolog-xpce-doc-9.2.8-r0.apk 26-Oct-2024 14:32 1M
swig3-3.0.12-r3.apk 25-Oct-2024 20:36 1M
swig3-doc-3.0.12-r3.apk 25-Oct-2024 20:36 3736
sxcs-1.1.0-r0.apk 25-Oct-2024 20:36 9144
sxcs-doc-1.1.0-r0.apk 25-Oct-2024 20:36 2704
sylpheed-imap-notify-1.1.0-r2.apk 25-Oct-2024 20:36 8838
symengine-0.12.0-r0.apk 25-Oct-2024 20:36 3M
sympow-2.023.7-r2.apk 25-Oct-2024 20:36 2M
sympow-doc-2.023.7-r2.apk 25-Oct-2024 20:36 3158
syncthing-gtk-0.9.4.5-r2.apk 25-Oct-2024 20:36 440K
syncthing-gtk-doc-0.9.4.5-r2.apk 25-Oct-2024 20:36 2254
syncthing-gtk-pyc-0.9.4.5-r2.apk 25-Oct-2024 20:36 221K
sysls-2-r3.apk 25-Oct-2024 20:36 19K
t2sz-1.1.2-r0.apk 25-Oct-2024 20:36 9125
tabby-3.1-r1.apk 25-Oct-2024 20:36 33K
tabby-doc-3.1-r1.apk 25-Oct-2024 20:36 2313
tachyon-0.99_beta6-r1.apk 25-Oct-2024 20:36 102K
tachyon-scenes-0.99_beta6-r1.apk 25-Oct-2024 20:36 2M
tang-14-r0.apk 25-Oct-2024 20:36 17K
tang-dbg-14-r0.apk 25-Oct-2024 20:36 30K
tang-doc-14-r0.apk 25-Oct-2024 20:36 21K
tang-openrc-14-r0.apk 25-Oct-2024 20:36 2013
tangctl-0_git20220412-r19.apk 25-Oct-2024 20:36 2M
tanidvr-1.4.1-r1.apk 25-Oct-2024 20:36 23K
tanidvr-dhav2mkv-1.4.1-r1.apk 25-Oct-2024 20:36 11K
tanka-0.28.4-r0.apk 25-Oct-2024 20:36 4M
taskcafe-0.3.6-r8.apk 25-Oct-2024 20:36 13M
taskcafe-openrc-0.3.6-r8.apk 25-Oct-2024 20:36 1884
tayga-0.9.2-r0.apk 25-Oct-2024 20:36 23K
tayga-doc-0.9.2-r0.apk 25-Oct-2024 20:36 5706
tcl-curl-7.22.0-r0.apk 25-Oct-2024 20:36 33K
tcl-curl-doc-7.22.0-r0.apk 25-Oct-2024 20:36 38K
tcl9-9.0.0-r0.apk 25-Oct-2024 20:36 2M
tcl9-dev-9.0.0-r0.apk 25-Oct-2024 20:36 185K
tcl9-doc-9.0.0-r0.apk 25-Oct-2024 20:36 1M
tcmu-runner-1.6.0-r6.apk 25-Oct-2024 20:36 86K
tcmu-runner-doc-1.6.0-r6.apk 25-Oct-2024 20:36 2573
tcmu-runner-rbd-1.6.0-r6.apk 25-Oct-2024 20:36 13K
tdrop-0.5.0-r0.apk 25-Oct-2024 20:36 12K
tdrop-doc-0.5.0-r0.apk 25-Oct-2024 20:36 9070
tealdeer-1.7.0-r0.apk 25-Oct-2024 20:36 993K
tealdeer-bash-completion-1.7.0-r0.apk 25-Oct-2024 20:36 2011
tealdeer-fish-completion-1.7.0-r0.apk 25-Oct-2024 20:36 2261
tealdeer-zsh-completion-1.7.0-r0.apk 25-Oct-2024 20:36 2373
teapot-tools-0.4.2-r2.apk 25-Oct-2024 20:36 2M
templ-0.2.778-r0.apk 25-Oct-2024 20:36 5M
tenv-3.2.4-r2.apk 25-Oct-2024 20:36 9M
tenv-bash-completion-3.2.4-r2.apk 25-Oct-2024 20:36 5123
tenv-fish-completion-3.2.4-r2.apk 25-Oct-2024 20:36 4361
tenv-zsh-completion-3.2.4-r2.apk 25-Oct-2024 20:36 4077
termbox-1.1.2-r1.apk 25-Oct-2024 20:36 13K
termbox-dev-1.1.2-r1.apk 25-Oct-2024 20:36 5873
termbox-static-1.1.2-r1.apk 25-Oct-2024 20:36 13K
termcolor-2.1.0-r0.apk 25-Oct-2024 20:36 1505
termcolor-dev-2.1.0-r0.apk 25-Oct-2024 20:36 7001
terminalpp-0.8.4-r0.apk 25-Oct-2024 20:36 402K
terminalpp-ropen-0.8.4-r0.apk 25-Oct-2024 20:36 58K
tfupdate-0.8.2-r1.apk 25-Oct-2024 20:36 5M
tfupdate-doc-0.8.2-r1.apk 25-Oct-2024 20:36 2324
thanos-0.31.0-r8.apk 25-Oct-2024 20:36 21M
thanos-openrc-0.31.0-r8.apk 25-Oct-2024 20:36 2011
theforceengine-1.09.540-r1.apk 25-Oct-2024 20:36 7M
theforceengine-doc-1.09.540-r1.apk 25-Oct-2024 20:36 6M
thefuck-3.32-r5.apk 25-Oct-2024 20:36 83K
thefuck-pyc-3.32-r5.apk 25-Oct-2024 20:36 156K
thelounge-4.4.3-r0.apk 25-Oct-2024 20:36 28M
thelounge-doc-4.4.3-r0.apk 25-Oct-2024 20:36 2357
thelounge-openrc-4.4.3-r0.apk 25-Oct-2024 20:36 2083
theme.sh-1.1.5-r0.apk 25-Oct-2024 20:36 39K
theme.sh-doc-1.1.5-r0.apk 25-Oct-2024 20:36 2378
throttled-0.10.0-r0.apk 25-Oct-2024 20:36 15K
throttled-openrc-0.10.0-r0.apk 25-Oct-2024 20:36 1647
throttled-pyc-0.10.0-r0.apk 25-Oct-2024 20:36 28K
thumbdrives-0.3.2-r2.apk 25-Oct-2024 20:36 11K
thunarx-python-0.5.2-r2.apk 25-Oct-2024 20:36 10K
thunarx-python-doc-0.5.2-r2.apk 25-Oct-2024 20:36 25K
tic-80-1.1.2837-r4.apk 25-Oct-2024 20:36 15M
tick-1.2.1-r0.apk 25-Oct-2024 20:36 10K
tick-doc-1.2.1-r0.apk 25-Oct-2024 20:36 5675
ticker-4.6.3-r0.apk 25-Oct-2024 20:36 4M
ticker-bash-completion-4.6.3-r0.apk 25-Oct-2024 20:36 4675
ticker-fish-completion-4.6.3-r0.apk 25-Oct-2024 20:36 3968
ticker-zsh-completion-4.6.3-r0.apk 25-Oct-2024 20:36 3807
time-1.9-r1.apk 25-Oct-2024 20:36 12K
time-doc-1.9-r1.apk 25-Oct-2024 20:36 15K
timeshift-24.06.3-r0.apk 25-Oct-2024 20:36 473K
timeshift-doc-24.06.3-r0.apk 25-Oct-2024 20:36 3229
timeshift-lang-24.06.3-r0.apk 25-Oct-2024 20:36 884K
timew-1.4.3-r1.apk 25-Oct-2024 20:36 260K
timew-bash-completion-1.4.3-r1.apk 25-Oct-2024 20:36 2827
timew-doc-1.4.3-r1.apk 25-Oct-2024 20:36 53K
timewarrior-1.7.1-r0.apk 25-Oct-2024 20:36 274K
timewarrior-doc-1.7.1-r0.apk 25-Oct-2024 20:36 22K
tintin-2.02.31-r0.apk 25-Oct-2024 20:36 2M
tinycbor-0.6.0-r1.apk 25-Oct-2024 20:36 15K
tinycbor-dev-0.6.0-r1.apk 25-Oct-2024 20:36 8572
tinygltf-2.9.3-r0.apk 07-Nov-2024 22:57 144K
tinygltf-dev-2.9.3-r0.apk 07-Nov-2024 22:57 57K
tinymist-0.12.2-r0.apk 16-Nov-2024 03:05 18M
tinyscheme-1.42-r1.apk 25-Oct-2024 20:36 59K
tk9-9.0.0-r0.apk 25-Oct-2024 20:36 890K
tk9-dev-9.0.0-r0.apk 25-Oct-2024 20:36 82K
tk9-doc-9.0.0-r0.apk 25-Oct-2024 20:36 1M
tkey-ssh-agent-1.0.0-r0.apk 14-Nov-2024 01:02 2M
tkey-ssh-agent-doc-1.0.0-r0.apk 14-Nov-2024 01:02 4455
tldr-python-client-3.2.0-r2.apk 25-Oct-2024 20:36 12K
tldr-python-client-doc-3.2.0-r2.apk 25-Oct-2024 20:36 3555
tldr-python-client-pyc-3.2.0-r2.apk 25-Oct-2024 20:36 14K
tmate-2.4.0-r4.apk 25-Oct-2024 20:36 260K
tmate-doc-2.4.0-r4.apk 25-Oct-2024 20:36 72K
tmpl-0.4.0-r6.apk 25-Oct-2024 20:36 2M
tmpl-doc-0.4.0-r6.apk 25-Oct-2024 20:36 2303
tmpmail-1.2.3-r2.apk 25-Oct-2024 20:36 7191
tmpmail-doc-1.2.3-r2.apk 25-Oct-2024 20:36 3326
tmux-resurrect-4.0.0-r0.apk 25-Oct-2024 20:36 14K
tmux-resurrect-doc-4.0.0-r0.apk 25-Oct-2024 20:36 8595
tncattach-0.1.9-r1.apk 25-Oct-2024 20:36 24K
tncattach-doc-0.1.9-r1.apk 25-Oct-2024 20:36 4001
tnef-1.4.18-r0.apk 25-Oct-2024 20:36 26K
tnef-doc-1.4.18-r0.apk 25-Oct-2024 20:36 4325
toapk-1.0-r0.apk 25-Oct-2024 20:36 11K
today-6.2.0-r0.apk 25-Oct-2024 20:36 3219
today-doc-6.2.0-r0.apk 25-Oct-2024 20:36 3351
tomcat9-9.0.97-r0.apk 18-Nov-2024 22:04 7M
tomcat9-admin-9.0.97-r0.apk 18-Nov-2024 22:04 119K
tomcat9-doc-9.0.97-r0.apk 18-Nov-2024 22:04 2M
tomcat9-examples-9.0.97-r0.apk 18-Nov-2024 22:04 445K
tomcat9-openrc-9.0.97-r0.apk 18-Nov-2024 22:04 4653
toml2json-1.3.1-r0.apk 25-Oct-2024 20:36 426K
toml2json-doc-1.3.1-r0.apk 25-Oct-2024 20:36 3386
tonutils-reverse-proxy-0.3.3-r0.apk 25-Oct-2024 20:36 3M
tonutils-reverse-proxy-doc-0.3.3-r0.apk 25-Oct-2024 20:36 2452
tootik-0.13.0-r0.apk 21-Nov-2024 04:59 4M
tootik-openrc-0.13.0-r0.apk 21-Nov-2024 04:59 3149
topgit-0.19.13-r1.apk 25-Oct-2024 20:36 127K
topgit-bash-completion-0.19.13-r1.apk 25-Oct-2024 20:36 4126
topgit-doc-0.19.13-r1.apk 25-Oct-2024 20:36 73K
torrent-file-editor-0.3.18-r0.apk 25-Oct-2024 20:36 369K
toss-1.1-r0.apk 25-Oct-2024 20:36 11K
toybox-0.8.11-r1.apk 25-Oct-2024 20:36 291K
tpm2-pkcs11-1.9.1-r0.apk 25-Oct-2024 20:36 133K
tpm2-pkcs11-dev-1.9.1-r0.apk 25-Oct-2024 20:36 1918
tpm2-pkcs11-pyc-1.9.1-r0.apk 25-Oct-2024 20:36 69K
tpp-bypass-0.8.4-r0.apk 25-Oct-2024 20:36 13K
trace-cmd-3.3.1-r0.apk 01-Nov-2024 19:39 172K
trace-cmd-bash-completion-3.3.1-r0.apk 01-Nov-2024 19:39 3434
trace-cmd-doc-3.3.1-r0.apk 01-Nov-2024 19:39 171K
transito-0.8.4-r0.apk 19-Nov-2024 00:50 8M
transito-doc-0.8.4-r0.apk 19-Nov-2024 00:50 753K
transmission-remote-gtk-1.6.0-r0.apk 25-Oct-2024 20:36 151K
transmission-remote-gtk-doc-1.6.0-r0.apk 25-Oct-2024 20:36 4326
transmission-remote-gtk-lang-1.6.0-r0.apk 25-Oct-2024 20:36 106K
trantor-1.5.18-r0.apk 25-Oct-2024 20:36 245K
trantor-dev-1.5.18-r0.apk 25-Oct-2024 20:36 34K
trantor-doc-1.5.18-r0.apk 25-Oct-2024 20:36 2680
tre-0.8.0-r2.apk 25-Oct-2024 20:36 28K
tre-dev-0.8.0-r2.apk 25-Oct-2024 20:36 5299
tre-static-0.8.0-r2.apk 25-Oct-2024 20:36 30K
tree-sitter-c-sharp-0.23.1-r0.apk 13-Nov-2024 00:51 354K
tree-sitter-caddy-0_git20230322-r0.apk 25-Oct-2024 20:36 77K
tree-sitter-caddy-doc-0_git20230322-r0.apk 25-Oct-2024 20:36 2342
tree-sitter-clojure-0.0.12-r0.apk 25-Oct-2024 20:36 24K
tree-sitter-dart-0_git20230123-r1.apk 25-Oct-2024 20:36 97K
tree-sitter-git-commit-0_git20211225-r2.apk 25-Oct-2024 20:36 14K
tree-sitter-git-diff-0_git20230730-r0.apk 25-Oct-2024 20:36 11K
tree-sitter-git-rebase-0_git20220110-r2.apk 25-Oct-2024 20:36 7798
tree-sitter-gleam-1.0.0-r0.apk 25-Oct-2024 20:36 46K
tree-sitter-hare-0_git20230616-r1.apk 25-Oct-2024 20:36 36K
tree-sitter-haskell-0.23.0-r0.apk 25-Oct-2024 20:36 302K
tree-sitter-hcl-1.1.0-r1.apk 25-Oct-2024 20:36 48K
tree-sitter-just-0_git20230318-r0.apk 25-Oct-2024 20:36 15K
tree-sitter-kotlin-0.3.8-r0.apk 25-Oct-2024 20:36 329K
tree-sitter-make-0_git20211216-r2.apk 25-Oct-2024 20:36 45K
tree-sitter-markdown-0.3.2-r0.apk 25-Oct-2024 20:36 137K
tree-sitter-markdown-doc-0.3.2-r0.apk 25-Oct-2024 20:36 2257
tree-sitter-nix-0_git20230713-r0.apk 25-Oct-2024 20:36 24K
tree-sitter-nix-doc-0_git20230713-r0.apk 25-Oct-2024 20:36 2325
tree-sitter-pascal-0.9.1-r0.apk 25-Oct-2024 20:36 87K
tree-sitter-pascal-doc-0.9.1-r0.apk 25-Oct-2024 20:36 2324
tree-sitter-ron-0.2.0-r0.apk 25-Oct-2024 20:36 33K
tree-sitter-scheme-0.23.0-r0.apk 25-Oct-2024 20:36 24K
tree-sitter-scheme-doc-0.23.0-r0.apk 25-Oct-2024 20:36 2291
tree-sitter-ssh-client-config-2024.11.14-r0.apk 14-Nov-2024 12:57 89K
tree-sitter-vimdoc-3.0.0-r0.apk 12-Nov-2024 11:25 29K
tree-sitter-vimdoc-dev-3.0.0-r0.apk 12-Nov-2024 11:25 32K
tree-sitter-vimdoc-doc-3.0.0-r0.apk 12-Nov-2024 11:25 7345
tree-sitter-xml-0.7.0-r0.apk 14-Nov-2024 13:11 35K
tree-sitter-xml-doc-0.7.0-r0.apk 14-Nov-2024 13:11 2252
tremc-0.9.3-r0.apk 25-Oct-2024 20:36 48K
tremc-bash-completion-0.9.3-r0.apk 25-Oct-2024 20:36 1723
tremc-doc-0.9.3-r0.apk 25-Oct-2024 20:36 2678
tremc-zsh-completion-0.9.3-r0.apk 25-Oct-2024 20:36 1643
trigger-rally-0.6.7-r2.apk 25-Oct-2024 20:36 304K
trigger-rally-data-0.6.7-r2.apk 25-Oct-2024 20:36 352M
trigger-rally-doc-0.6.7-r2.apk 25-Oct-2024 20:36 28K
trippy-0.11.0-r0.apk 25-Oct-2024 20:36 2M
trippy-bash-completion-0.11.0-r0.apk 25-Oct-2024 20:36 3218
trippy-zsh-completion-0.11.0-r0.apk 25-Oct-2024 20:36 4787
tsung-1.8.0-r2.apk 25-Oct-2024 20:36 730K
ttfautohint-1.8.4-r0.apk 25-Oct-2024 20:36 30K
ttfautohint-dev-1.8.4-r0.apk 25-Oct-2024 20:36 161K
ttfautohint-doc-1.8.4-r0.apk 25-Oct-2024 20:36 8293
ttfautohint-gui-1.8.4-r0.apk 25-Oct-2024 20:36 61K
ttfautohint-libs-1.8.4-r0.apk 25-Oct-2024 20:36 111K
tty-clock-2.3_git20240104-r0.apk 25-Oct-2024 20:36 9086
tty-clock-doc-2.3_git20240104-r0.apk 25-Oct-2024 20:36 3195
tty-proxy-0.0.2-r23.apk 25-Oct-2024 20:36 2M
tty-share-2.4.0-r13.apk 25-Oct-2024 20:36 3M
ttyper-1.5.0-r0.apk 25-Oct-2024 20:36 639K
tup-0.7.11-r0.apk 25-Oct-2024 20:36 243K
tup-doc-0.7.11-r0.apk 25-Oct-2024 20:36 21K
tup-vim-0.7.11-r0.apk 25-Oct-2024 20:36 2634
tuptime-5.2.2-r3.apk 25-Oct-2024 20:36 14K
tuptime-doc-5.2.2-r3.apk 25-Oct-2024 20:36 3875
tuptime-openrc-5.2.2-r3.apk 25-Oct-2024 20:36 1799
turn-rs-3.1.0-r0.apk 25-Oct-2024 20:36 2M
turn-rs-doc-3.1.0-r0.apk 25-Oct-2024 20:36 14K
turn-rs-openrc-3.1.0-r0.apk 25-Oct-2024 20:36 2035
turnstile-0.1.10-r3.apk 25-Oct-2024 20:36 39K
turnstile-doc-0.1.10-r3.apk 25-Oct-2024 20:36 5842
turnstile-openrc-0.1.10-r3.apk 25-Oct-2024 20:36 1841
twemproxy-0.5.0-r0.apk 25-Oct-2024 20:36 68K
twemproxy-doc-0.5.0-r0.apk 25-Oct-2024 20:36 17K
twinkle-1.10.3-r2.apk 25-Oct-2024 20:36 2M
twinkle-doc-1.10.3-r2.apk 25-Oct-2024 20:36 3638
typos-1.23.2-r0.apk 25-Oct-2024 20:36 5M
typos-doc-1.23.2-r0.apk 25-Oct-2024 20:36 6272
u1db-qt-0.1.8-r0.apk 25-Oct-2024 20:36 98K
uasm-2.56.2-r0.apk 25-Oct-2024 20:36 305K
ubase-20200605-r3.apk 25-Oct-2024 20:36 45K
ubase-doc-20200605-r3.apk 25-Oct-2024 20:36 21K
ubuntu-archive-keyring-2023.11.28.1-r0.apk 25-Oct-2024 20:36 16K
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk 25-Oct-2024 20:36 2340
uclient-20210514-r0.apk 25-Oct-2024 20:36 13K
uclient-dev-20210514-r0.apk 25-Oct-2024 20:36 3264
uclient-fetch-20210514-r0.apk 25-Oct-2024 20:36 10K
udpt-3.1.2-r0.apk 25-Oct-2024 20:36 853K
udpt-openrc-3.1.2-r0.apk 25-Oct-2024 20:36 1849
ueberzug-18.2.3-r0.apk 25-Oct-2024 20:36 64K
ueberzug-pyc-18.2.3-r0.apk 25-Oct-2024 20:36 64K
undock-0.8.0-r1.apk 25-Oct-2024 20:36 10M
unit-php81-1.33.0-r0.apk 25-Oct-2024 20:36 32K
unit-php84-1.33.0-r1.apk 25-Oct-2024 20:36 32K
up-0.4-r24.apk 25-Oct-2024 20:36 1M
upterm-0.14.3-r0.apk 25-Oct-2024 20:36 6M
upterm-bash-completion-0.14.3-r0.apk 25-Oct-2024 20:36 5656
upterm-doc-0.14.3-r0.apk 25-Oct-2024 20:36 6703
upterm-server-0.14.3-r0.apk 25-Oct-2024 20:36 6M
upterm-server-openrc-0.14.3-r0.apk 25-Oct-2024 20:36 1951
upterm-zsh-completion-0.14.3-r0.apk 25-Oct-2024 20:36 4141
uranium-5.2.2-r3.apk 25-Oct-2024 20:36 596K
urlwatch-2.28-r2.apk 25-Oct-2024 20:36 49K
urlwatch-doc-2.28-r2.apk 25-Oct-2024 20:36 33K
urlwatch-pyc-2.28-r2.apk 25-Oct-2024 20:36 101K
usbguard-notifier-0.1.1-r0.apk 25-Oct-2024 20:36 34K
usbguard-notifier-doc-0.1.1-r0.apk 25-Oct-2024 20:36 5060
usbmuxd-1.1.1-r8.apk 30-Oct-2024 22:45 35K
usbmuxd-doc-1.1.1-r8.apk 30-Oct-2024 22:45 3004
usql-0.15.6-r7.apk 25-Oct-2024 20:36 30M
ustr-1.0.4-r1.apk 25-Oct-2024 20:36 62K
ustr-debug-1.0.4-r1.apk 25-Oct-2024 20:36 78K
ustr-dev-1.0.4-r1.apk 25-Oct-2024 20:36 91K
ustr-doc-1.0.4-r1.apk 25-Oct-2024 20:36 97K
ustr-static-1.0.4-r1.apk 25-Oct-2024 20:36 165K
ustream-ssl-20220116-r1.apk 25-Oct-2024 20:36 7425
ustream-ssl-dev-20220116-r1.apk 25-Oct-2024 20:36 2629
utop-2.9.1-r4.apk 25-Oct-2024 20:36 13M
utop-common-2.9.1-r4.apk 25-Oct-2024 20:36 2094
utop-doc-2.9.1-r4.apk 25-Oct-2024 20:36 5887
utop-emacs-2.9.1-r4.apk 25-Oct-2024 20:36 13K
utop-full-2.9.1-r4.apk 25-Oct-2024 20:36 13M
uucp-1.07-r6.apk 25-Oct-2024 20:36 529K
uucp-doc-1.07-r6.apk 25-Oct-2024 20:36 118K
uxn-1.0-r0.apk 25-Oct-2024 20:36 47K
uxn-doc-1.0-r0.apk 25-Oct-2024 20:36 4280
uxplay-1.70-r0.apk 25-Oct-2024 20:36 210K
uxplay-doc-1.70-r0.apk 25-Oct-2024 20:36 4410
vals-0.37.6-r0.apk 25-Oct-2024 20:36 27M
varnish-modules-0.24.0-r0.apk 25-Oct-2024 20:36 40K
varnish-modules-doc-0.24.0-r0.apk 25-Oct-2024 20:36 21K
vbindiff-3.0_beta5-r1.apk 25-Oct-2024 20:36 21K
vbindiff-doc-3.0_beta5-r1.apk 25-Oct-2024 20:36 5584
vcdimager-2.0.1-r3.apk 25-Oct-2024 20:36 487K
vcdimager-dev-2.0.1-r3.apk 25-Oct-2024 20:36 122K
vcdimager-doc-2.0.1-r3.apk 25-Oct-2024 20:36 75K
vcsh-2.0.5-r0.apk 25-Oct-2024 20:36 9008
vcsh-bash-completion-2.0.5-r0.apk 25-Oct-2024 20:36 2992
vcsh-doc-2.0.5-r0.apk 25-Oct-2024 20:36 27K
vcsh-zsh-completion-2.0.5-r0.apk 25-Oct-2024 20:36 3002
vcstool-0.3.0-r5.apk 25-Oct-2024 20:36 35K
vcstool-bash-completion-0.3.0-r5.apk 25-Oct-2024 20:36 1800
vcstool-pyc-0.3.0-r5.apk 25-Oct-2024 20:36 58K
vcstool-tcsh-completion-0.3.0-r5.apk 25-Oct-2024 20:36 1674
vcstool-zsh-completion-0.3.0-r5.apk 25-Oct-2024 20:36 1764
vectoroids-1.1.0-r2.apk 25-Oct-2024 20:36 283K
vectoroids-doc-1.1.0-r2.apk 25-Oct-2024 20:36 2350
venc-3.2.4-r0.apk 25-Oct-2024 20:36 251K
venc-pyc-3.2.4-r0.apk 25-Oct-2024 20:36 128K
vera++-1.3.0-r10.apk 25-Oct-2024 20:36 259K
vfd-configurations-0_git20230612-r0.apk 25-Oct-2024 20:36 25K
vice-3.8-r0.apk 25-Oct-2024 20:36 14M
vidcutter-6.0.5.3-r0.apk 25-Oct-2024 20:36 3M
vidcutter-doc-6.0.5.3-r0.apk 25-Oct-2024 20:36 24K
vidcutter-pyc-6.0.5.3-r0.apk 25-Oct-2024 20:36 2M
viewnior-1.8-r1.apk 25-Oct-2024 20:36 77K
viewnior-doc-1.8-r1.apk 25-Oct-2024 20:36 2149
viewnior-lang-1.8-r1.apk 25-Oct-2024 20:36 85K
vile-9.8z-r0.apk 25-Oct-2024 20:36 2M
vile-doc-9.8z-r0.apk 25-Oct-2024 20:36 15K
vim-airline-0.11-r0.apk 25-Oct-2024 20:36 86K
vim-airline-doc-0.11-r0.apk 25-Oct-2024 20:36 12K
vim-rust-305-r0.apk 25-Oct-2024 20:36 20K
virtctl-1.3.1-r0.apk 25-Oct-2024 20:37 14M
virtctl-bash-completion-1.3.1-r0.apk 25-Oct-2024 20:37 5182
virtctl-fish-completion-1.3.1-r0.apk 25-Oct-2024 20:37 4364
virtctl-zsh-completion-1.3.1-r0.apk 25-Oct-2024 20:37 4080
virter-0.27.0-r3.apk 25-Oct-2024 20:37 5M
virter-bash-completion-0.27.0-r3.apk 25-Oct-2024 20:37 5183
virter-doc-0.27.0-r3.apk 25-Oct-2024 20:37 15K
virter-fish-completion-0.27.0-r3.apk 25-Oct-2024 20:37 4427
virter-zsh-completion-0.27.0-r3.apk 25-Oct-2024 20:37 4143
virtme-ng-1.31-r0.apk 25-Oct-2024 20:37 178K
virtme-ng-bash-completion-1.31-r0.apk 25-Oct-2024 20:37 2687
visidata-2.11.1-r2.apk 25-Oct-2024 20:37 248K
visidata-doc-2.11.1-r2.apk 25-Oct-2024 20:37 16K
visidata-pyc-2.11.1-r2.apk 25-Oct-2024 20:37 497K
visidata-zsh-completion-2.11.1-r2.apk 25-Oct-2024 20:37 7348
vit-2.3.2-r1.apk 25-Oct-2024 20:37 80K
vit-pyc-2.3.2-r1.apk 25-Oct-2024 20:37 151K
vkbasalt-0.3.2.10-r0.apk 25-Oct-2024 20:37 372K
vkbasalt-doc-0.3.2.10-r0.apk 25-Oct-2024 20:37 3152
vmtouch-1.3.1-r0.apk 25-Oct-2024 20:37 12K
vmtouch-doc-1.3.1-r0.apk 25-Oct-2024 20:37 8227
voikko-fi-2.5-r0.apk 25-Oct-2024 20:37 2M
volatility3-2.7.0-r0.apk 25-Oct-2024 20:37 465K
volatility3-doc-2.7.0-r0.apk 25-Oct-2024 20:37 3210
volatility3-pyc-2.7.0-r0.apk 25-Oct-2024 20:37 854K
volumeicon-0.5.1-r1.apk 25-Oct-2024 20:37 44K
volumeicon-lang-0.5.1-r1.apk 25-Oct-2024 20:37 3855
vym-2.9.26-r0.apk 25-Oct-2024 20:37 3M
vym-doc-2.9.26-r0.apk 25-Oct-2024 20:37 3M
w_scan2-1.0.15-r0.apk 25-Oct-2024 20:37 150K
w_scan2-doc-1.0.15-r0.apk 25-Oct-2024 20:37 4233
wabt-1.0.36-r0.apk 25-Oct-2024 20:37 4M
wabt-doc-1.0.36-r0.apk 25-Oct-2024 20:37 14K
wakeonlan-0.42-r0.apk 25-Oct-2024 20:37 4615
wakeonlan-doc-0.42-r0.apk 25-Oct-2024 20:37 7696
walk-1.10.0-r0.apk 20-Nov-2024 22:25 1M
walk-sor-0_git20190920-r1.apk 25-Oct-2024 20:37 5492
walk-sor-doc-0_git20190920-r1.apk 25-Oct-2024 20:37 7955
warp-s3-1.0.6-r0.apk 13-Nov-2024 01:08 6M
warpinator-1.8.6-r0.apk 12-Nov-2024 11:03 210K
warpinator-lang-1.8.6-r0.apk 12-Nov-2024 11:03 224K
warpinator-nemo-1.8.6-r0.apk 12-Nov-2024 11:03 4055
wasm-tools-1.215.0-r0.apk 25-Oct-2024 20:37 3M
wasm-tools-doc-1.215.0-r0.apk 25-Oct-2024 20:37 7344
watchbind-0.2.1-r1.apk 25-Oct-2024 20:37 1M
watchbind-doc-0.2.1-r1.apk 25-Oct-2024 20:37 6724
watchdog-5.16-r2.apk 25-Oct-2024 20:37 44K
watchdog-doc-5.16-r2.apk 25-Oct-2024 20:37 14K
way-displays-1.8.1-r2.apk 25-Oct-2024 20:37 78K
way-displays-doc-1.8.1-r2.apk 25-Oct-2024 20:37 4206
wayfire-0.8.1-r1.apk 25-Oct-2024 20:37 2M
wayfire-dev-0.8.1-r1.apk 25-Oct-2024 20:37 129K
wayfire-doc-0.8.1-r1.apk 25-Oct-2024 20:37 2812
wayfire-plugins-extra-0.8.1-r1.apk 25-Oct-2024 20:37 601K
waynergy-0.0.17-r0.apk 25-Oct-2024 20:37 51K
wayqt-0.2.0-r0.apk 25-Oct-2024 20:37 111K
wayqt-dev-0.2.0-r0.apk 25-Oct-2024 20:37 18K
wbg-1.2.0-r0.apk 25-Oct-2024 20:37 39K
wch-isp-0.4.1-r2.apk 25-Oct-2024 20:37 11K
wch-isp-doc-0.4.1-r2.apk 25-Oct-2024 20:37 2720
wch-isp-udev-rules-0.4.1-r2.apk 25-Oct-2024 20:37 1689
wcm-0.8.0-r0.apk 25-Oct-2024 20:37 375K
welle-cli-2.5-r0.apk 25-Oct-2024 20:37 285K
welle-io-2.5-r0.apk 25-Oct-2024 20:37 417K
welle-io-doc-2.5-r0.apk 25-Oct-2024 20:37 4130
wf-config-0.8.0-r1.apk 25-Oct-2024 20:37 103K
wf-config-dev-0.8.0-r1.apk 25-Oct-2024 20:37 16K
wf-shell-0.8.1-r0.apk 25-Oct-2024 20:37 6M
wf-shell-dev-0.8.1-r0.apk 25-Oct-2024 20:37 1762
wf-shell-doc-0.8.1-r0.apk 25-Oct-2024 20:37 2270
wgcf-2.2.22-r3.apk 25-Oct-2024 20:37 4M
wgcf-bash-completion-2.2.22-r3.apk 25-Oct-2024 20:37 5182
wgcf-fish-completion-2.2.22-r3.apk 25-Oct-2024 20:37 4414
wgcf-zsh-completion-2.2.22-r3.apk 25-Oct-2024 20:37 4133
wget2-2.1.0-r0.apk 25-Oct-2024 20:37 121K
wget2-dbg-2.1.0-r0.apk 25-Oct-2024 20:37 848K
wget2-dev-2.1.0-r0.apk 25-Oct-2024 20:37 1M
wget2-doc-2.1.0-r0.apk 25-Oct-2024 20:37 70K
wget2-libs-2.1.0-r0.apk 25-Oct-2024 20:37 216K
whipper-0.10.0-r5.apk 25-Oct-2024 20:37 113K
whipper-pyc-0.10.0-r5.apk 25-Oct-2024 20:37 185K
wiki-tui-0.8.2-r1.apk 25-Oct-2024 20:37 2M
wiki-tui-doc-0.8.2-r1.apk 25-Oct-2024 20:37 4715
wireguard-go-0.0.20230223-r7.apk 25-Oct-2024 20:37 1M
wireguard-go-doc-0.0.20230223-r7.apk 25-Oct-2024 20:37 3681
wiremapper-0.10.0-r0.apk 25-Oct-2024 20:37 22K
witchery-0.0.3-r2.apk 25-Oct-2024 20:37 3280
wl-clipboard-x11-5-r3.apk 25-Oct-2024 20:37 3499
wl-clipboard-x11-doc-5-r3.apk 25-Oct-2024 20:37 3007
wl-gammarelay-0.1.1-r9.apk 25-Oct-2024 20:37 1M
wlclock-1.0.1-r0.apk 25-Oct-2024 20:37 16K
wlclock-doc-1.0.1-r0.apk 25-Oct-2024 20:37 3372
wlopm-0.1.0-r0.apk 25-Oct-2024 20:37 6680
wlopm-doc-0.1.0-r0.apk 25-Oct-2024 20:37 2064
wlr-protocols-0_git20240126-r0.apk 25-Oct-2024 20:37 24K
wlrobs-1.0-r4.apk 25-Oct-2024 20:37 19K
wlroots0.12-0.12.0-r1.apk 25-Oct-2024 20:37 254K
wlroots0.12-dbg-0.12.0-r1.apk 25-Oct-2024 20:37 1007K
wlroots0.12-dev-0.12.0-r1.apk 25-Oct-2024 20:37 61K
wlroots0.15-0.15.1-r6.apk 25-Oct-2024 20:37 308K
wlroots0.15-dbg-0.15.1-r6.apk 25-Oct-2024 20:37 1M
wlroots0.15-dev-0.15.1-r6.apk 25-Oct-2024 20:37 70K
wlroots0.16-0.16.2-r0.apk 28-Oct-2024 13:48 370K
wlroots0.16-dbg-0.16.2-r0.apk 28-Oct-2024 13:48 1M
wlroots0.16-dev-0.16.2-r0.apk 28-Oct-2024 13:48 71K
wlroots0.17-0.17.4-r0.apk 28-Oct-2024 10:36 399K
wlroots0.17-dbg-0.17.4-r0.apk 28-Oct-2024 10:36 1M
wlroots0.17-dev-0.17.4-r0.apk 28-Oct-2024 10:36 77K
wlvncc-0.0.0_git20230105-r1.apk 25-Oct-2024 20:37 70K
wmctrl-1.07-r1.apk 25-Oct-2024 20:37 14K
wmctrl-doc-1.07-r1.apk 25-Oct-2024 20:37 5224
wmi-client-1.3.16-r5.apk 25-Oct-2024 20:37 3M
wol-0.7.1-r3.apk 25-Oct-2024 20:37 27K
wol-doc-0.7.1-r3.apk 25-Oct-2024 20:37 5653
wol-lang-0.7.1-r3.apk 25-Oct-2024 20:37 8351
wolfssh-1.4.17-r0.apk 25-Oct-2024 20:37 139K
wolfssh-dev-1.4.17-r0.apk 25-Oct-2024 20:37 176K
wordgrinder-0.8-r2.apk 25-Oct-2024 20:37 487K
wordgrinder-doc-0.8-r2.apk 25-Oct-2024 20:37 18K
wpa_actiond-1.4-r7.apk 25-Oct-2024 20:37 10K
wpa_actiond-openrc-1.4-r7.apk 25-Oct-2024 20:37 2271
wput-0.6.2-r4.apk 25-Oct-2024 20:37 40K
wput-doc-0.6.2-r4.apk 25-Oct-2024 20:37 8444
wroomd-0.1.0-r0.apk 25-Oct-2024 20:37 1M
wroomd-openrc-0.1.0-r0.apk 25-Oct-2024 20:37 1742
wshowkeys-1.0-r0.apk 25-Oct-2024 20:37 15K
wsmancli-2.6.2-r0.apk 25-Oct-2024 20:37 19K
wsmancli-doc-2.6.2-r0.apk 25-Oct-2024 20:37 3784
wtfutil-0.43.0-r8.apk 25-Oct-2024 20:37 20M
x11docker-7.6.0-r1.apk 25-Oct-2024 20:37 113K
x11docker-doc-7.6.0-r1.apk 25-Oct-2024 20:37 9632
xa-2.3.14-r0.apk 25-Oct-2024 20:37 54K
xa-doc-2.3.14-r0.apk 25-Oct-2024 20:37 15K
xandikos-0.2.12-r0.apk 25-Oct-2024 20:37 91K
xandikos-doc-0.2.12-r0.apk 25-Oct-2024 20:37 2334
xandikos-openrc-0.2.12-r0.apk 25-Oct-2024 20:37 2186
xandikos-pyc-0.2.12-r0.apk 25-Oct-2024 20:37 189K
xcape-1.2-r0.apk 25-Oct-2024 20:37 7073
xcape-doc-1.2-r0.apk 25-Oct-2024 20:37 2910
xcompmgr-1.1.9-r0.apk 25-Oct-2024 20:37 15K
xcompmgr-doc-1.1.9-r0.apk 25-Oct-2024 20:37 2674
xdg-desktop-portal-hyprland-1.3.3-r0.apk 25-Oct-2024 20:37 267K
xdg-desktop-portal-hyprland-doc-1.3.3-r0.apk 25-Oct-2024 20:37 2487
xdg-ninja-0.2.0.2-r0.apk 25-Oct-2024 20:37 70K
xed-3.6.6-r0.apk 12-Nov-2024 11:02 1M
xed-dev-3.6.6-r0.apk 12-Nov-2024 11:02 14K
xed-doc-3.6.6-r0.apk 12-Nov-2024 11:02 971K
xed-lang-3.6.6-r0.apk 12-Nov-2024 11:02 2M
xed-python-3.6.6-r0.apk 12-Nov-2024 11:02 24K
xemu-0.7.131-r1.apk 25-Oct-2024 20:37 4M
xfce4-calculator-plugin-0.7.2-r0.apk 25-Oct-2024 20:37 65K
xfce4-calculator-plugin-lang-0.7.2-r0.apk 25-Oct-2024 20:37 22K
xfce4-diskperf-plugin-2.7.0-r0.apk 25-Oct-2024 20:37 17K
xfce4-diskperf-plugin-lang-2.7.0-r0.apk 25-Oct-2024 20:37 50K
xfce4-docklike-plugin-0.4.2-r0.apk 25-Oct-2024 20:37 77K
xfce4-docklike-plugin-lang-0.4.2-r0.apk 25-Oct-2024 20:37 36K
xfce4-fsguard-plugin-1.1.3-r0.apk 25-Oct-2024 20:37 53K
xfce4-fsguard-plugin-lang-1.1.3-r0.apk 25-Oct-2024 20:37 31K
xfce4-hamster-plugin-1.17-r0.apk 25-Oct-2024 20:37 38K
xfce4-hamster-plugin-lang-1.17-r0.apk 25-Oct-2024 20:37 5188
xfce4-mailwatch-plugin-1.3.1-r1.apk 25-Oct-2024 20:37 58K
xfce4-mailwatch-plugin-lang-1.3.1-r1.apk 25-Oct-2024 20:37 149K
xfce4-mixer-4.18.1-r2.apk 25-Oct-2024 20:37 92K
xfce4-mixer-doc-4.18.1-r2.apk 25-Oct-2024 20:37 2562
xfce4-mixer-lang-4.18.1-r2.apk 25-Oct-2024 20:37 59K
xfce4-mpc-plugin-0.5.3-r0.apk 25-Oct-2024 20:37 20K
xfce4-mpc-plugin-lang-0.5.3-r0.apk 25-Oct-2024 20:37 38K
xfce4-netload-plugin-1.4.1-r0.apk 25-Oct-2024 20:37 27K
xfce4-netload-plugin-lang-1.4.1-r0.apk 25-Oct-2024 20:37 44K
xfce4-panel-profiles-1.0.14-r1.apk 25-Oct-2024 20:37 57K
xfce4-panel-profiles-doc-1.0.14-r1.apk 25-Oct-2024 20:37 19K
xfce4-panel-profiles-lang-1.0.14-r1.apk 25-Oct-2024 20:37 44K
xfce4-places-plugin-1.8.3-r0.apk 25-Oct-2024 20:37 30K
xfce4-places-plugin-lang-1.8.3-r0.apk 25-Oct-2024 20:37 54K
xfce4-smartbookmark-plugin-0.5.2-r0.apk 25-Oct-2024 20:37 10K
xfce4-smartbookmark-plugin-lang-0.5.2-r0.apk 25-Oct-2024 20:37 21K
xfce4-systemload-plugin-1.3.2-r0.apk 25-Oct-2024 20:37 32K
xfce4-systemload-plugin-lang-1.3.2-r0.apk 25-Oct-2024 20:37 39K
xfce4-timer-plugin-1.7.2-r0.apk 25-Oct-2024 20:37 26K
xfce4-timer-plugin-lang-1.7.2-r0.apk 25-Oct-2024 20:37 49K
xfce4-verve-plugin-2.0.3-r0.apk 25-Oct-2024 20:37 20K
xfce4-verve-plugin-lang-2.0.3-r0.apk 25-Oct-2024 20:37 40K
xfd-1.1.4-r0.apk 25-Oct-2024 20:37 14K
xfd-doc-1.1.4-r0.apk 25-Oct-2024 20:37 5047
xfe-1.46.2-r0.apk 25-Oct-2024 20:37 1M
xfe-doc-1.46.2-r0.apk 25-Oct-2024 20:37 4077
xfe-lang-1.46.2-r0.apk 25-Oct-2024 20:37 534K
xfe-xfi-1.46.2-r0.apk 25-Oct-2024 20:37 284K
xfe-xfp-1.46.2-r0.apk 25-Oct-2024 20:37 258K
xfe-xfw-1.46.2-r0.apk 25-Oct-2024 20:37 300K
xgalaga-2.1.1.0-r1.apk 25-Oct-2024 20:37 341K
xgalaga-doc-2.1.1.0-r1.apk 25-Oct-2024 20:37 2606
xisxwayland-2-r1.apk 25-Oct-2024 20:37 4147
xisxwayland-doc-2-r1.apk 25-Oct-2024 20:37 2023
xkb-switch-1.8.5-r0.apk 25-Oct-2024 20:37 17K
xkb-switch-doc-1.8.5-r0.apk 25-Oct-2024 20:37 1927
xlhtml-0.5.1-r0.apk 25-Oct-2024 20:37 12K
xlhtml-doc-0.5.1-r0.apk 25-Oct-2024 20:37 2566
xload-1.1.4-r0.apk 25-Oct-2024 20:37 7126
xload-doc-1.1.4-r0.apk 25-Oct-2024 20:37 3283
xmag-1.0.8-r0.apk 25-Oct-2024 20:37 18K
xmag-doc-1.0.8-r0.apk 25-Oct-2024 20:37 4854
xml2rfc-3.24.0-r0.apk 09-Nov-2024 22:26 350K
xml2rfc-pyc-3.24.0-r0.apk 09-Nov-2024 22:26 404K
xmp-4.2.0-r0.apk 25-Oct-2024 20:37 24K
xmp-doc-4.2.0-r0.apk 25-Oct-2024 20:37 5442
xmpp-dns-0.2.4-r19.apk 25-Oct-2024 20:37 2M
xmppipe-0.16.0-r1.apk 25-Oct-2024 20:37 17K
xone-src-0.3_git20230517-r0.apk 25-Oct-2024 20:37 43K
xonsh-0.18.2-r0.apk 25-Oct-2024 20:37 588K
xonsh-pyc-0.18.2-r0.apk 25-Oct-2024 20:37 1M
xosview-1.24-r0.apk 25-Oct-2024 20:37 128K
xosview-doc-1.24-r0.apk 25-Oct-2024 20:37 13K
xsane-0.999-r2.apk 25-Oct-2024 20:37 2M
xsane-doc-0.999-r2.apk 25-Oct-2024 20:37 4414
xsane-lang-0.999-r2.apk 25-Oct-2024 20:37 440K
xsecurelock-1.9.0-r1.apk 25-Oct-2024 20:37 67K
xsecurelock-doc-1.9.0-r1.apk 25-Oct-2024 20:37 18K
xsoldier-1.8-r2.apk 25-Oct-2024 20:37 73K
xsoldier-doc-1.8-r2.apk 25-Oct-2024 20:37 2684
xtl-0.7.7-r0.apk 25-Oct-2024 20:37 111K
xva-img-1.5-r0.apk 25-Oct-2024 20:37 17K
xvidtune-1.0.4-r0.apk 25-Oct-2024 20:37 17K
xvidtune-doc-1.0.4-r0.apk 25-Oct-2024 20:37 4274
xvkbd-4.1-r2.apk 25-Oct-2024 20:37 298K
xvkbd-doc-4.1-r2.apk 25-Oct-2024 20:37 11K
xwaylandvideobridge-0.4.0-r1.apk 25-Oct-2024 20:37 51K
xwaylandvideobridge-lang-0.4.0-r1.apk 25-Oct-2024 20:37 11K
yaegi-0.16.1-r3.apk 25-Oct-2024 20:37 7M
yamkix-0.10.0-r1.apk 25-Oct-2024 20:37 14K
yamkix-pyc-0.10.0-r1.apk 25-Oct-2024 20:37 12K
yamlfmt-0.12.1-r1.apk 25-Oct-2024 20:37 1M
yamlfmt-doc-0.12.1-r1.apk 25-Oct-2024 20:37 5655
yarn-berry-4.5.1-r0.apk 28-Oct-2024 10:09 970K
yarr-2.4-r8.apk 25-Oct-2024 20:37 4M
yarr-doc-2.4-r8.apk 25-Oct-2024 20:37 7889
yarr-openrc-2.4-r8.apk 25-Oct-2024 20:37 2090
yaru-common-23.10.0-r0.apk 25-Oct-2024 20:37 4751
yaru-icon-theme-23.10.0-r0.apk 25-Oct-2024 20:37 35M
yaru-icon-theme-bark-23.10.0-r0.apk 25-Oct-2024 20:37 1M
yaru-icon-theme-blue-23.10.0-r0.apk 25-Oct-2024 20:37 1M
yaru-icon-theme-magenta-23.10.0-r0.apk 25-Oct-2024 20:37 1M
yaru-icon-theme-mate-23.10.0-r0.apk 25-Oct-2024 20:37 1M
yaru-icon-theme-olive-23.10.0-r0.apk 25-Oct-2024 20:37 1M
yaru-icon-theme-prussiangreen-23.10.0-r0.apk 25-Oct-2024 20:37 1M
yaru-icon-theme-purple-23.10.0-r0.apk 25-Oct-2024 20:37 1M
yaru-icon-theme-red-23.10.0-r0.apk 25-Oct-2024 20:37 1M
yaru-icon-theme-sage-23.10.0-r0.apk 25-Oct-2024 20:37 1M
yaru-icon-theme-viridian-23.10.0-r0.apk 25-Oct-2024 20:37 1M
yaru-schemas-23.10.0-r0.apk 25-Oct-2024 20:37 1887
yaru-shell-23.10.0-r0.apk 25-Oct-2024 20:37 746K
yaru-sounds-23.10.0-r0.apk 25-Oct-2024 20:37 676K
yaru-theme-23.10.0-r0.apk 25-Oct-2024 20:37 856K
yaru-theme-bark-23.10.0-r0.apk 25-Oct-2024 20:37 773K
yaru-theme-blue-23.10.0-r0.apk 25-Oct-2024 20:37 776K
yaru-theme-hdpi-23.10.0-r0.apk 25-Oct-2024 20:37 78K
yaru-theme-magenta-23.10.0-r0.apk 25-Oct-2024 20:37 772K
yaru-theme-mate-23.10.0-r0.apk 25-Oct-2024 20:37 736K
yaru-theme-olive-23.10.0-r0.apk 25-Oct-2024 20:37 769K
yaru-theme-prussiangreen-23.10.0-r0.apk 25-Oct-2024 20:37 769K
yaru-theme-purple-23.10.0-r0.apk 25-Oct-2024 20:37 767K
yaru-theme-red-23.10.0-r0.apk 25-Oct-2024 20:37 770K
yaru-theme-sage-23.10.0-r0.apk 25-Oct-2024 20:37 773K
yaru-theme-viridian-23.10.0-r0.apk 25-Oct-2024 20:37 770K
yass-2.5.0-r0.apk 25-Oct-2024 20:37 14M
yazi-0.3.3-r0.apk 25-Oct-2024 20:37 5M
yazi-bash-completion-0.3.3-r0.apk 25-Oct-2024 20:37 2145
yazi-cli-0.3.3-r0.apk 25-Oct-2024 20:37 1M
yazi-doc-0.3.3-r0.apk 25-Oct-2024 20:37 2307
yazi-fish-completion-0.3.3-r0.apk 25-Oct-2024 20:37 1883
yazi-zsh-completion-0.3.3-r0.apk 25-Oct-2024 20:37 2197
ydcv-0.7-r8.apk 25-Oct-2024 20:37 20K
ydcv-pyc-0.7-r8.apk 25-Oct-2024 20:37 11K
ydcv-zsh-completion-0.7-r8.apk 25-Oct-2024 20:37 2205
yeti-1.1-r0.apk 25-Oct-2024 20:37 816K
yices2-2.6.4-r0.apk 25-Oct-2024 20:37 2M
yices2-dev-2.6.4-r0.apk 25-Oct-2024 20:37 41K
yices2-libs-2.6.4-r0.apk 25-Oct-2024 20:37 836K
yodl-4.02.00-r1.apk 25-Oct-2024 20:37 147K
yodl-doc-4.02.00-r1.apk 25-Oct-2024 20:37 59K
yoshimi-2.3.2-r0.apk 25-Oct-2024 20:37 6M
yoshimi-doc-2.3.2-r0.apk 25-Oct-2024 20:37 4M
youtube-tui-0.8.0-r0.apk 25-Oct-2024 20:37 2M
youtube-viewer-3.11.1-r0.apk 25-Oct-2024 20:37 83K
youtube-viewer-doc-3.11.1-r0.apk 25-Oct-2024 20:37 41K
youtube-viewer-gtk-3.11.1-r0.apk 25-Oct-2024 20:37 171K
ytmdl-2024.08.15.1-r0.apk 25-Oct-2024 20:37 50K
ytmdl-bash-completion-2024.08.15.1-r0.apk 25-Oct-2024 20:37 2294
ytmdl-pyc-2024.08.15.1-r0.apk 25-Oct-2024 20:37 78K
ytmdl-zsh-completion-2024.08.15.1-r0.apk 25-Oct-2024 20:37 2134
ytt-0.47.0-r5.apk 25-Oct-2024 20:37 4M
yubikey-agent-0.1.6-r7.apk 25-Oct-2024 20:37 2M
z-1.12-r0.apk 25-Oct-2024 20:37 4724
z-doc-1.12-r0.apk 25-Oct-2024 20:37 4010
zafiro-icon-theme-1.3-r0.apk 25-Oct-2024 20:37 19M
zapret-0.0.0_git20220125-r1.apk 25-Oct-2024 20:37 86K
zapret-doc-0.0.0_git20220125-r1.apk 25-Oct-2024 20:37 98K
zapret-openrc-0.0.0_git20220125-r1.apk 25-Oct-2024 20:37 2134
zaproxy-2.14.0-r0.apk 25-Oct-2024 20:37 205M
zarchive-0.1.2-r2.apk 25-Oct-2024 20:37 16K
zarchive-dev-0.1.2-r2.apk 25-Oct-2024 20:37 6924
zarchive-libs-0.1.2-r2.apk 25-Oct-2024 20:37 26K
zfs-src-2.2.4-r0.apk 25-Oct-2024 20:37 33M
zfsbootmenu-2.3.0-r1.apk 25-Oct-2024 20:37 128K
zfsbootmenu-doc-2.3.0-r1.apk 25-Oct-2024 20:37 16K
zile-2.6.2-r1.apk 25-Oct-2024 20:37 126K
zile-doc-2.6.2-r1.apk 25-Oct-2024 20:37 16K
zita-njbridge-0.4.8-r1.apk 25-Oct-2024 20:37 30K
zita-njbridge-doc-0.4.8-r1.apk 25-Oct-2024 20:37 5361
zita-resampler-1.10.1-r0.apk 25-Oct-2024 20:37 18K
zita-resampler-dev-1.10.1-r0.apk 25-Oct-2024 20:37 3438
zita-resampler-doc-1.10.1-r0.apk 25-Oct-2024 20:37 4151
znc-backlog-0_git20210503-r8.apk 25-Oct-2024 20:37 22K
znc-clientbuffer-1.0.48-r8.apk 25-Oct-2024 20:37 18K
znc-playback-0_git20210503-r8.apk 25-Oct-2024 20:37 20K
znc-push-0_git20220823-r8.apk 25-Oct-2024 20:37 93K
zsh-fzf-tab-0_git20220331-r1.apk 25-Oct-2024 20:37 16K
zsh-manydots-magic-0_git20230607-r1.apk 25-Oct-2024 20:37 2938
zutty-0.14-r0.apk 25-Oct-2024 20:37 157K